From shakthimaan at gmail.com Tue Dec 1 05:06:35 2009 From: shakthimaan at gmail.com (Shakthi Kannan) Date: Tue, 1 Dec 2009 10:36:35 +0530 Subject: [Fedora-electronic-lab] FEL website clarification Message-ID: Hi Chitlesh, Couple of clarifications required. In the website: http://chitlesh.fedorapeople.org/FEL/ 1. It mentions, "No licenses required and it is free". Sometimes, customers only ask for 'licensed' software, and Free/Open Source Software _is_ licensed. Can this be re-phrased to something like "Licensed software and free to use, modify and distribute"? 2. Can we also mention it as "Free/"Open Source EDA community provider/builder etc.? Regards, SK -- Shakthi Kannan http://www.shakthimaan.com From chitlesh at fedoraproject.org Tue Dec 1 11:53:48 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Tue, 1 Dec 2009 12:53:48 +0100 Subject: [Fedora-electronic-lab] FEL website clarification In-Reply-To: References: Message-ID: <13dbfe4f0912010353w448ba68byeebaffe6050a8ace@mail.gmail.com> On Tue, Dec 1, 2009 at 6:06 AM, Shakthi Kannan wrote: > Hi Chitlesh, > > Couple of clarifications required. In the website: > http://chitlesh.fedorapeople.org/FEL/ The new website is http://spins.fedoraproject.org/fel/. All the contents of http://chitlesh.fedorapeople.org/FEL/ are now in http://spins.fedoraproject.org/fel/. I have to : - fix all the images so that css overlay feature works on the images - update the contents with respect to new solutions we provide once I've fixed these, I'll redirect http://chitlesh.fedorapeople.org/FEL/ to http://spins.fedoraproject.org/fel/ If anyone feels that I miss something some features of their tools on http://spins.fedoraproject.org/fel#portfolio, please let me know. > 1. It mentions, "No licenses required and it is free". Sometimes, > customers only ask for 'licensed' software, and Free/Open Source > Software _is_ licensed. Can this be re-phrased to something like > "Licensed software and free to use, modify and distribute"? True. This is indeed a confusion. The reason behind "No license" was to differentiate between proprietary expensive node license, its purchase and its configuration and no such thing with FOSS software. How about changing this >>> No licenses required and it is free. into >>> Free and Opensource licensed and NO purchase of extra licenses is required to activate its features. ? > 2. Can we also mention it as "Free/"Open Source EDA community > provider/builder etc.? Ok I will change it tonight. Kind regards, Chitlesh From shakthimaan at gmail.com Tue Dec 1 12:00:40 2009 From: shakthimaan at gmail.com (Shakthi Kannan) Date: Tue, 1 Dec 2009 17:30:40 +0530 Subject: [Fedora-electronic-lab] FEL website clarification In-Reply-To: <13dbfe4f0912010353w448ba68byeebaffe6050a8ace@mail.gmail.com> References: <13dbfe4f0912010353w448ba68byeebaffe6050a8ace@mail.gmail.com> Message-ID: Hi, --- On Tue, Dec 1, 2009 at 5:23 PM, Chitlesh GOORAH wrote: | Free and Opensource licensed and NO purchase of extra licenses is required to activate its features. | ? \-- Yes, sounds good. This should answer their concern regarding licenses. Thanks! SK -- Shakthi Kannan http://www.shakthimaan.com From trac at fedorahosted.org Tue Dec 1 18:17:41 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Tue, 01 Dec 2009 18:17:41 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #50: (needs packager) irsim-mode for emacs In-Reply-To: <066.17171b9b4665eefe99b9e2f42af0d4a6@fedorahosted.org> References: <066.17171b9b4665eefe99b9e2f42af0d4a6@fedorahosted.org> Message-ID: <075.49b67f01d72a70212f823a5fb70d8123@fedorahosted.org> #50: (needs packager) irsim-mode for emacs -----------------------------+---------------------------------------------- Reporter: chitlesh | Owner: shakthimaan* Type: task | Status: new Priority: major | Milestone: Fedora 13 Component: digital design | Version: devel Resolution: | Keywords: -----------------------------+---------------------------------------------- Changes (by shakthimaan): * owner: chitlesh => shakthimaan* -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From chitlesh at fedoraproject.org Tue Dec 1 19:30:39 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Tue, 1 Dec 2009 20:30:39 +0100 Subject: [Fedora-electronic-lab] FEL website clarification In-Reply-To: References: <13dbfe4f0912010353w448ba68byeebaffe6050a8ace@mail.gmail.com> Message-ID: <13dbfe4f0912011130s393c9090re7d4f8979f16734c@mail.gmail.com> On Tue, Dec 1, 2009 at 1:00 PM, Shakthi Kannan <> wrote: > Hi, > Yes, sounds good. This should answer their concern regarding licenses. > Ok then, I have updated the front page as per your recommendation. Can you proof read it please ? I've enabled the overlay feature on the images. Click on the images on the front page to see if it works as expected at your place too. Afterwards, I'll do the same for the other pages. http://spins.fedoraproject.org/ I've also updated the #publication page with respect to Laurent Charpentier's presentation last week. @Laurent, can you please proof read your section and tell me if it suits you ? Cheers, Chitlesh From chitlesh at fedoraproject.org Tue Dec 1 19:49:41 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Tue, 1 Dec 2009 20:49:41 +0100 Subject: [Fedora-electronic-lab] FEL website clarification In-Reply-To: <13dbfe4f0912011130s393c9090re7d4f8979f16734c@mail.gmail.com> References: <13dbfe4f0912010353w448ba68byeebaffe6050a8ace@mail.gmail.com> <13dbfe4f0912011130s393c9090re7d4f8979f16734c@mail.gmail.com> Message-ID: <13dbfe4f0912011149m31b350a0gb5c37b5b99609bdc@mail.gmail.com> On Tue, Dec 1, 2009 at 8:30 PM, Chitlesh GOORAH wrote: > I've also updated the #publication page with respect to Laurent > Charpentier's presentation last week. > @Laurent, can you please proof read your section and tell me if it suits you ? It will take about one hour till the servers get synced. Though I have not yet added new contents about the recent features, the old FEL website http://chitlesh.fedorapeople.org/FEL/ will now be redirected to http://spins.fedoraproject.org/fel/ . Thus now we can concentrate on this new website. The sources are accessible as documented here: http://fedoraproject.org/wiki/Websites/ShowUs Cheers, Chitlesh From trac at fedorahosted.org Wed Dec 2 07:00:22 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Wed, 02 Dec 2009 07:00:22 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #50: (needs packager) irsim-mode for emacs In-Reply-To: <066.17171b9b4665eefe99b9e2f42af0d4a6@fedorahosted.org> References: <066.17171b9b4665eefe99b9e2f42af0d4a6@fedorahosted.org> Message-ID: <075.8b103103ab3f23fa235a23081499ac0d@fedorahosted.org> #50: (needs packager) irsim-mode for emacs -----------------------------+---------------------------------------------- Reporter: chitlesh | Owner: sagarun* Type: task | Status: new Priority: major | Milestone: Fedora 13 Component: digital design | Version: devel Resolution: | Keywords: -----------------------------+---------------------------------------------- Changes (by sagarun): * owner: shakthimaan* => sagarun* -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Wed Dec 2 10:26:34 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Wed, 02 Dec 2009 10:26:34 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #50: (needs packager) irsim-mode for emacs In-Reply-To: <066.17171b9b4665eefe99b9e2f42af0d4a6@fedorahosted.org> References: <066.17171b9b4665eefe99b9e2f42af0d4a6@fedorahosted.org> Message-ID: <075.c70daf7d44688e0e5b34c82bcd13222d@fedorahosted.org> #50: (needs packager) irsim-mode for emacs -----------------------------+---------------------------------------------- Reporter: chitlesh | Owner: sagarun* Type: task | Status: new Priority: major | Milestone: Fedora 13 Component: digital design | Version: devel Resolution: | Keywords: -----------------------------+---------------------------------------------- Comment (by sagarun): Review request added : https://bugzilla.redhat.com/show_bug.cgi?id=543383 -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Wed Dec 2 13:23:45 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Wed, 02 Dec 2009 13:23:45 -0000 Subject: [Fedora-electronic-lab] =?utf-8?q?Re=3A_=5BFedora_Electronic_Lab?= =?utf-8?q?=5D_=2374=3A_=C2=BFPossible_inclusion_of__Arduino_IDE_in_FEL=3F?= In-Reply-To: <062.d1d72732ac80bbc0b887d955cdffc527@fedorahosted.org> References: <062.d1d72732ac80bbc0b887d955cdffc527@fedorahosted.org> Message-ID: <071.cf91d98561bf996ef337ab0d262fe55d@fedorahosted.org> #74: ?Possible inclusion of Arduino IDE in FEL? ------------------------------+--------------------------------------------- Reporter: q2dg | Owner: chitlesh Type: enhancement | Status: new Priority: minor | Milestone: Fedora 13 Component: embedded design | Version: devel Resolution: | Keywords: Arduino IDE ------------------------------+--------------------------------------------- Changes (by chitlesh): * type: defect => enhancement * component: FEL => embedded design Comment: A nice introduction by Mentor Graphics : http://www.mentor.com/resources/techpubs/upload/mentorpaper_51770.pdf -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Wed Dec 2 13:25:55 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Wed, 02 Dec 2009 13:25:55 -0000 Subject: [Fedora-electronic-lab] =?utf-8?q?Re=3A_=5BFedora_Electronic_Lab?= =?utf-8?q?=5D_=2374=3A_=C2=BFPossible_inclusion_of__Arduino_IDE_in_FEL=3F?= In-Reply-To: <062.d1d72732ac80bbc0b887d955cdffc527@fedorahosted.org> References: <062.d1d72732ac80bbc0b887d955cdffc527@fedorahosted.org> Message-ID: <071.fc5ac5ec798993090ecbf867e9a8a089@fedorahosted.org> #74: ?Possible inclusion of Arduino IDE in FEL? ------------------------------+--------------------------------------------- Reporter: q2dg | Owner: chitlesh Type: enhancement | Status: new Priority: minor | Milestone: Fedora 13 Component: embedded design | Version: devel Resolution: | Keywords: Arduino IDE ------------------------------+--------------------------------------------- Comment (by chitlesh): I see on http://www.arduino.cc/playground/Linux/Fedora {{{ Requirements: * Sun Java 1.6.0_13 (JRE RPM.bin Package) from http://java.sun.com/javase/downloads/index.jsp }}} Does any know whether it is compatible with Java OpenJDK that Fedora is shipping ? -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Wed Dec 2 23:06:54 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Wed, 02 Dec 2009 23:06:54 -0000 Subject: [Fedora-electronic-lab] =?utf-8?q?Re=3A_=5BFedora_Electronic_Lab?= =?utf-8?q?=5D_=2374=3A_=C2=BFPossible_inclusion_of__Arduino_IDE_in_FEL=3F?= In-Reply-To: <062.d1d72732ac80bbc0b887d955cdffc527@fedorahosted.org> References: <062.d1d72732ac80bbc0b887d955cdffc527@fedorahosted.org> Message-ID: <071.59bd958baa8e080c57ddd962cebc8a3b@fedorahosted.org> #74: ?Possible inclusion of Arduino IDE in FEL? ------------------------------+--------------------------------------------- Reporter: q2dg | Owner: chitlesh Type: enhancement | Status: new Priority: minor | Milestone: Fedora 13 Component: embedded design | Version: devel Resolution: | Keywords: Arduino IDE ------------------------------+--------------------------------------------- Comment (by q2dg): Replying to [comment:2 chitlesh]: > > A nice introduction by Mentor Graphics : > http://www.mentor.com/resources/techpubs/upload/mentorpaper_51770.pdf What is the relation between Arduino and this?? It?s Arduino, NOT Android. There?s nothing in common!!! -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Thu Dec 3 05:51:21 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Thu, 03 Dec 2009 05:51:21 -0000 Subject: [Fedora-electronic-lab] [Fedora Electronic Lab] #80: Emacs DocBook XML mode Message-ID: <069.a4234efa3765ddf8ff1c188499c8baaf@fedorahosted.org> #80: Emacs DocBook XML mode ---------------------------------+------------------------------------------ Reporter: shakthimaan | Owner: sagarun* Type: defect | Status: new Priority: major | Milestone: Fedora 13 Component: Documentation | Version: devel Keywords: Emacs, docbook, XML | ---------------------------------+------------------------------------------ = bug description = Emacs DocBook XML mode is useful for preparing documents with DocBook style. = fix recommendation = Package the sources: http://sourceforge.net/projects/docbookxml/ -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Thu Dec 3 08:44:40 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Thu, 03 Dec 2009 08:44:40 -0000 Subject: [Fedora-electronic-lab] [Fedora Electronic Lab] #81: ocp error: OCP support cells that are not 50 lambda tall Message-ID: <066.f84319952b2190ad5b0ce915d2ec8b24@fedorahosted.org> #81: ocp error: OCP support cells that are not 50 lambda tall ----------------------+----------------------------------------------------- Reporter: chitlesh | Owner: chitlesh Type: defect | Status: new Priority: major | Milestone: Fedora 13 Component: ASIC | Version: devel Keywords: alliance | ----------------------+----------------------------------------------------- = bug description = Try the multi4 example with wsclib013 library: ---------- Placer for Standards Cells Alliance CAD System 5.0 20060218, ocp 5.0 Copyright (c) 2001-2009, ASIM/LIP6/UPMC E-mail : alliance-users at asim.lip6.fr o ERROR : All cells must have the same height: i cannot place the instance: aoi21bv0x05_ins.z ------------------- = bug analysis = = fix recommendation = https://www-asim.lip6.fr/wws/arc/alliance-users/2003-09/msg00007.html -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Thu Dec 3 08:45:30 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Thu, 03 Dec 2009 08:45:30 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #81: ocp error: OCP support cells that are not 50 lambda tall In-Reply-To: <066.f84319952b2190ad5b0ce915d2ec8b24@fedorahosted.org> References: <066.f84319952b2190ad5b0ce915d2ec8b24@fedorahosted.org> Message-ID: <075.8489059e6da3a22801a062009fc144d0@fedorahosted.org> #81: ocp error: OCP support cells that are not 50 lambda tall -----------------------+---------------------------------------------------- Reporter: chitlesh | Owner: chitlesh Type: defect | Status: new Priority: major | Milestone: Fedora 13 Component: ASIC | Version: devel Resolution: | Keywords: alliance -----------------------+---------------------------------------------------- Old description: > = bug description = > > Try the multi4 example with wsclib013 library: > > ---------- > Placer for Standards Cells > > Alliance CAD System 5.0 20060218, ocp 5.0 > Copyright (c) 2001-2009, ASIM/LIP6/UPMC > E-mail : alliance-users at asim.lip6.fr > > o ERROR : All cells must have the same height: i cannot place the > instance: > aoi21bv0x05_ins.z > ------------------- > > = bug analysis = > > = fix recommendation = > > https://www-asim.lip6.fr/wws/arc/alliance-users/2003-09/msg00007.html New description: = bug description = Try the multi4 example with wsclib013 library: ---------- {{{ Placer for Standards Cells Alliance CAD System 5.0 20060218, ocp 5.0 Copyright (c) 2001-2009, ASIM/LIP6/UPMC E-mail : alliance-users at asim.lip6.fr o ERROR : All cells must have the same height: i cannot place the instance: aoi21bv0x05_ins.z ------------------- }}} = bug analysis = = fix recommendation = https://www-asim.lip6.fr/wws/arc/alliance-users/2003-09/msg00007.html -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Thu Dec 3 15:30:17 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Thu, 03 Dec 2009 15:30:17 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #80: Emacs DocBook XML mode In-Reply-To: <069.a4234efa3765ddf8ff1c188499c8baaf@fedorahosted.org> References: <069.a4234efa3765ddf8ff1c188499c8baaf@fedorahosted.org> Message-ID: <078.3029337e7b5de79ca3a8eb6a5e2b5302@fedorahosted.org> #80: Emacs DocBook XML mode ----------------------------+----------------------------------------------- Reporter: shakthimaan | Owner: sagarun* Type: defect | Status: new Priority: major | Milestone: Fedora 13 Component: Documentation | Version: devel Resolution: | Keywords: Emacs, docbook, XML ----------------------------+----------------------------------------------- Comment (by sagarun): I am not able to find the version of GPL in Emacs-docbook-xml-mode package (GPLv2 or GPLv3 ?) . I have attached the spec file for your opinion. -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Thu Dec 3 16:13:37 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Thu, 03 Dec 2009 16:13:37 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #80: Emacs DocBook XML mode In-Reply-To: <069.a4234efa3765ddf8ff1c188499c8baaf@fedorahosted.org> References: <069.a4234efa3765ddf8ff1c188499c8baaf@fedorahosted.org> Message-ID: <078.2534bca96e3b6f53794173ae409d6777@fedorahosted.org> #80: Emacs DocBook XML mode ----------------------------+----------------------------------------------- Reporter: shakthimaan | Owner: sagarun* Type: defect | Status: new Priority: major | Milestone: Fedora 13 Component: Documentation | Version: devel Resolution: | Keywords: Emacs, docbook, XML ----------------------------+----------------------------------------------- Comment (by shakthimaan): If in doubt, ask. Please write to upstream author for clarification. Francis Litterio, franl at world.std.com (from the .el file) -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From Swapnil_Sapre at mindtree.com Fri Dec 4 11:13:01 2009 From: Swapnil_Sapre at mindtree.com (Swapnil Sapre) Date: Fri, 4 Dec 2009 16:43:01 +0530 Subject: [Fedora-electronic-lab] Open Hardware Message-ID: Dear Open HW Enthusiasts, My first mail to the list from India;-) I am Open Source Hardware enthusiast and have been talking about the same in various platforms http://foss.in/2009/schedules/talkdetailspub.php?talkid=83 Also would appreciate if someone can tell me how can I get all these packages(eda tools) in one cd rom, am ready to pay for this.There are some issues with downloading hence this request ! Thank you Swapnil http://www.mindtree.com/email/disclaimer.html From chitlesh at fedoraproject.org Fri Dec 4 11:17:49 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Fri, 4 Dec 2009 12:17:49 +0100 Subject: [Fedora-electronic-lab] Open Hardware In-Reply-To: References: Message-ID: <13dbfe4f0912040317t7745c156t72ddb88dea853a8d@mail.gmail.com> On Fri, Dec 4, 2009 at 12:13 PM, Swapnil Sapre wrote: > Dear Open HW Enthusiasts, > > My first mail to the list from India;-) > > I am Open Source Hardware enthusiast and have been talking about the same in various platforms > http://foss.in/2009/schedules/talkdetailspub.php?talkid=83 > > Also would appreciate if someone can tell me how can I get all these packages(eda tools) in one cd rom, am ready to pay for this.There are some issues with downloading hence this request ! > Hello there, Welcome to the list. FEL is free and you can download the version 12 from here : http://alt.fedoraproject.org/pub/alt/spins/FEL/ It comes in the form of a livedvd. Website : http://spins.fedoraproject.org/fel/ Since you are in foss.in, my friend JoergSimon and other fedora contributors are there too. Feel free to approach them for a chit-chat :) Cheers, Chitlesh -- Chitlesh GOORAH Fedora Electronic Lab Architect http://spins.fedoraproject.org/fel From Swapnil_Sapre at mindtree.com Fri Dec 4 11:21:43 2009 From: Swapnil_Sapre at mindtree.com (Swapnil Sapre) Date: Fri, 4 Dec 2009 16:51:43 +0530 Subject: [Fedora-electronic-lab] Open Hardware In-Reply-To: <13dbfe4f0912040317t7745c156t72ddb88dea853a8d@mail.gmail.com> References: <13dbfe4f0912040317t7745c156t72ddb88dea853a8d@mail.gmail.com> Message-ID: Yeah met jsimon at hic.de and he was mentioning some Shakti(not sure if I spell it correct)...Couldn't give me his business card as he misplaced them somewhere...He ensured me to send a mail ..waiting....1 more day to go for foss.in, may be will again catch him soon... Any ways thanks a lot for info Cheers Swapnil -----Original Message----- From: chitlesh at gmail.com [mailto:chitlesh at gmail.com] On Behalf Of Chitlesh GOORAH Sent: Friday, December 04, 2009 4:48 PM To: Swapnil Sapre Cc: fedora-electronic-lab-list at redhat.com Subject: Re: [Fedora-electronic-lab] Open Hardware On Fri, Dec 4, 2009 at 12:13 PM, Swapnil Sapre wrote: > Dear Open HW Enthusiasts, > > My first mail to the list from India;-) > > I am Open Source Hardware enthusiast and have been talking about the same in various platforms > http://foss.in/2009/schedules/talkdetailspub.php?talkid=83 > > Also would appreciate if someone can tell me how can I get all these packages(eda tools) in one cd rom, am ready to pay for this.There are some issues with downloading hence this request ! > Hello there, Welcome to the list. FEL is free and you can download the version 12 from here : http://alt.fedoraproject.org/pub/alt/spins/FEL/ It comes in the form of a livedvd. Website : http://spins.fedoraproject.org/fel/ Since you are in foss.in, my friend JoergSimon and other fedora contributors are there too. Feel free to approach them for a chit-chat :) Cheers, Chitlesh -- Chitlesh GOORAH Fedora Electronic Lab Architect http://spins.fedoraproject.org/fel http://www.mindtree.com/email/disclaimer.html From chitlesh at fedoraproject.org Fri Dec 4 11:29:28 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Fri, 4 Dec 2009 12:29:28 +0100 Subject: [Fedora-electronic-lab] Open Hardware In-Reply-To: References: <13dbfe4f0912040317t7745c156t72ddb88dea853a8d@mail.gmail.com> Message-ID: <13dbfe4f0912040329l1d20c1bak250114c0b5ee19e@mail.gmail.com> On Fri, Dec 4, 2009 at 12:21 PM, Swapnil Sapre wrote: > Yeah met jsimon at hic.de and he was mentioning some Shakti(not sure if I spell it correct)...Couldn't give me his business card as he misplaced them somewhere...He ensured me to send a mail ..waiting....1 more day to go for foss.in, may be will again catch him soon... Hello, Well you can have his email from http://fedoraproject.org/wiki/JoergSimon Shakthi is subscribed to this mailing list too and he is from India too. Cheers, Chitlesh -- Chitlesh GOORAH Fedora Electronic Lab Architect http://spins.fedoraproject.org/fel From shakthimaan at gmail.com Fri Dec 4 12:20:22 2009 From: shakthimaan at gmail.com (Shakthi Kannan) Date: Fri, 4 Dec 2009 17:50:22 +0530 Subject: [Fedora-electronic-lab] Open Hardware In-Reply-To: References: Message-ID: Swapnil, --- On Fri, Dec 4, 2009 at 4:43 PM, Swapnil Sapre wrote: | Dear Open HW Enthusiasts, | | My first mail to the list from India;-) \-- Welcome! Where are you located? I can provide Fedora DVD for you. Please go through Fedora Electronic Lab website to get to know more about our work: http://spins.fedoraproject.org/fel/ Let us know your interests, and how we can work together. Regards, SK -- Shakthi Kannan http://www.shakthimaan.com From shakthimaan at gmail.com Fri Dec 4 18:50:13 2009 From: shakthimaan at gmail.com (Shakthi Kannan) Date: Sat, 5 Dec 2009 00:20:13 +0530 Subject: [Fedora-electronic-lab] Dead Upstream what should we do ? In-Reply-To: References: <13dbfe4f0910311304q7c4e7e10w223349ab6e239808@mail.gmail.com> <13dbfe4f0910311328o7ffa9a5fk720140a33d7c03f4@mail.gmail.com> <13dbfe4f0910311412t559624dbk9cb55d4279c190f6@mail.gmail.com> Message-ID: Hi, --- On Sun, Nov 1, 2009 at 2:42 AM, Chitlesh GOORAH | It is fairly easy to write a script to create such a yelp | infrastructure. The latter will then be called directly from the | Electronics menu on the gnome menu. \-- Just want to discuss this further as our list of packages is growing day-by-day: 1. Whatever documentation made for a particular software can be pushed upstream, so we keep track of only one set of sources. We will do documentation in LaTeX or DocBook, so we abstract content with presentation, and it will greatly help us in the long run. 2. In Yelp, we can provide links to all relevant installed package documentation? If that can be done, it will only be linking to the respective files? or else we will need something similar in the lines of .desktop files that can simply pin-point to where the doc/, man/, info/ pages for each software/tool that is shipped, and are independent of desktop environments. 3. We will also need to provide documentation on suggested workflows with various tools that are shipped, so people can follow it. Feedback welcome, SK -- Shakthi Kannan http://www.shakthimaan.com From chitlesh at fedoraproject.org Fri Dec 4 20:06:14 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Fri, 4 Dec 2009 21:06:14 +0100 Subject: [Fedora-electronic-lab] User documentation (was Re:Dead Upstream what should we do ?) Message-ID: <13dbfe4f0912041206o1ccf9020j12c012105e73fc07@mail.gmail.com> On Fri, Dec 4, 2009 at 7:50 PM, Shakthi Kannan wrote: > Just want to discuss this further as our list of packages is growing day-by-day: Yes userguides are currently one of your weaknesses. Let's create another thread for this as we definitely got to find a suitable solution for the long term. > 1. Whatever documentation made for a particular software can be pushed > upstream, so we keep track of only one set of sources. We will do > documentation in LaTeX or DocBook, so we abstract content with > presentation, and it will greatly help us in the long run. +1 documentation should be pushed to upstream I would tend to opt for LaTex as most of our upstream developers like to keep their documentation in text format. Having that said, what should we do for other tools which upstream ships loads of documentation in OOo formats e.g kicad-doc ? As we know Latex gives one the opportunity to create documents in any type of format e.g pdf, dvi, ps ... If we are to ship the same contents in different formats, we should also bundle all the plugins for these formats. In FEL-12, I didn't think about this and by default (on the livedvd) one cannot read .dvi files with evince, because I missed the package evince-dvi on the livedvd (sorry by the way :) ). The more we add such type of dependencies to the livedvd the more * time we have to allocate for the livedvd's testing * time we have to allocate for package reviews * the size the livedvd will be. * the more dependencies they will pull. So here a decision should be made. If it was for me, pdf format is enough. What do you think ? > 2. In Yelp, we can provide links to all relevant installed package > documentation? If that can be done, it will only be linking to the > respective files? or else we will need something similar in the lines > of .desktop files that can simply pin-point to where the doc/, man/, > info/ pages for each software/tool that is shipped, and are > independent of desktop environments. I have no idea if linking to specific files is possible. In the wiki pages I'm editing on https://fedorahosted.org/fedora-electronic-lab/wiki/Digital, I'm pointing to these type of commands : $ man XXXXX or $ rpm -qd XXXXXX example in : https://fedorahosted.org/fedora-electronic-lab/wiki/Digital/iverilog Pointing to specific files would be great for the user, but hard on us. Each time upstream add/remove docs, the packager will have to update this yelp correctly. > 3. We will also need to provide documentation on suggested workflows > with various tools that are shipped, so people can follow it. This is coupled with your #2. Either we do it in * latex directly and output one big PDF which we can package separately so that it can be shipped on the livedvd and be updated as any other package, ( we could possibly add the release notes and the flyer in that package as well) * or in yelp as in #2. If in #1, we choose latex, I would favour latex here too. What do you think ? "Design flows" is one of FEL's strengths. It would be difficult to push this upstream as many tools of different upstreams will fall in different flows. Hence we have to maintain it ourselves in our git. However we have to ensure it can also easily available so that other distributions can use that "Design flows" doc as reference. Chitlesh From chitlesh at fedoraproject.org Fri Dec 4 20:36:35 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Fri, 4 Dec 2009 21:36:35 +0100 Subject: [Fedora-electronic-lab] PACKAGERS HEADS UP :release notes 13 and separate .sty for layout Message-ID: <13dbfe4f0912041236t209fdbf6n800106e72c868abe@mail.gmail.com> Hello there, On the request of Peter Cliford of gEDA, I've separated the layout of the release notes 13 from the contents : https://fedorahosted.org/fedora-electronic-lab/browser/release-notes/F-13 1) The customized pseudo package is releasenotes.sty. I'm not a latex guru. It is now free from graphics except the logo. If anyone can improve it so that it becomes portable he/she is welcome :) The colour of the layout can be customized. 2) I would appreciate if packagers can update this FEL13ReleaseNotes.tex accordingly when they are updating their packages. Thank you. Chitlesh PS: While discussing with PeterC, I thought about asking our upstream to adopt this latex package so that it would be like a brand of the opensouce EDA developers (of course without the fel logo). This came to me, since lately more corporates are opensourcing one or two of their tools, but they are claiming to their customers that they are the leader in opensource software. I feel it as an insult for our upstreams who are working hard to fix bugs and add new features during their free time. So a generic brand of the opensource EDA developers would remind users that there are a bunch of people who are investing their time too for opensource EDA. what do you think ? is it feasible ? Chitlesh -- Chitlesh GOORAH Fedora Electronic Lab Architect http://spins.fedoraproject.org/fel From chitlesh.goorah at gmail.com Fri Dec 4 20:59:04 2009 From: chitlesh.goorah at gmail.com (Chitlesh GOORAH) Date: Fri, 4 Dec 2009 21:59:04 +0100 Subject: [Fedora-electronic-lab] FEL request: opencores and user demos Message-ID: <50baabb30912041259i2453f80fg1ca4342030131dd@mail.gmail.com> Hello there, As many of you already know that the FEL[1] team wants to ensure that opensource tools can be used for the real life, we would appreciate some help in terms of such documentation. If you are familiar with tools like iverilog and ghdl, you can help us show the world what opensource EDA tools and opensource design flows can achieve. I welcome you to take one of the _completed_ opencores[2] projects and try to simulate it with ghdl or iverilog. Detail your procedures on https://fedorahosted.org/fedora-electronic-lab/wiki/Demos/opencores/CHOSENPROJECT You can also upload your screenshots to that wiki page. To edit on that wiki page, please login with your FAS[4] username. However if you encounter a bug with ghdl or iverilog during that process, please file a bug to [3]. https://fedorahosted.org/fedora-electronic-lab/wiki/Demos/opencores Your help will be greatly appreciated. Kind regards: Chitlesh Goorah on the behalf of the Fedora Electronic Lab team Other interesting urls which might interest you: * Openmoko hardware development on Fedora: http://chitlesh.wordpress.com/2009/10/07/openmoko-hardware-development-on-fedora/ * Gallery http://publictest6.fedoraproject.org/gallery2/main.php [1]: website: http://spins.fedoraproject.org/fel/ [2]: http://opencores.com/ [3]: https://bugzilla.redhat.com/frontpage.cgi [4]: https://admin.fedoraproject.org/accounts/ From shakthimaan at gmail.com Sat Dec 5 05:31:30 2009 From: shakthimaan at gmail.com (Shakthi Kannan) Date: Sat, 5 Dec 2009 11:01:30 +0530 Subject: [Fedora-electronic-lab] User documentation (was Re:Dead Upstream what should we do ?) In-Reply-To: <13dbfe4f0912041206o1ccf9020j12c012105e73fc07@mail.gmail.com> References: <13dbfe4f0912041206o1ccf9020j12c012105e73fc07@mail.gmail.com> Message-ID: Hi, --- On Sat, Dec 5, 2009 at 1:36 AM, Chitlesh GOORAH wrote: | I would tend to opt for LaTex as most of our upstream developers like | to keep their documentation in text format. \-- We agree to use this for all our documentation needs. --- | Having that said, what should we do for other tools which upstream | ships loads of documentation in OOo formats e.g kicad-doc ? \-- If we can export the OOo formats to LaTeX directly or through intermediate formats like DocBook, we can maintain consistency. --- | So here a decision should be made. If it was for me, pdf format is | enough. \-- Agreed. --- | Pointing to specific files would be great for the user, but hard on | us. Each time upstream add/remove docs, the packager will have to | update this yelp correctly. \-- Can this be done in the RPM packaging process? We know the .spec file mentions the -doc files. If we are able to list and put the location of all these -doc files for a package in a text file, say package.guide, similar to a .desktop file, an application can read all these package.guide files from a single directory (say, /usr/share/guide/*.guide) and show it to the user. This .guide file is important because it abstracts location of files from the GUI help manager, so we can use it across different desktop environments. As long as it doesn't violate FHS, this will provide the user with available documentation. FESCo needs to look at it? Maybe, if we provide a prototype using FEL, we can get feedback and others' point of view? Just a thought. --- | "Design flows" is one of FEL's strengths. It would be difficult to | push this upstream as many tools of different upstreams will fall in | different flows. Hence we have to maintain it ourselves in our git. \-- Yes, we can maintain it as you have mentioned. SK -- Shakthi Kannan http://www.shakthimaan.com From trac at fedorahosted.org Sat Dec 5 08:33:17 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Sat, 05 Dec 2009 08:33:17 -0000 Subject: [Fedora-electronic-lab] [Fedora Electronic Lab] #82: Package logisim Message-ID: <064.d42fb07c0a0c70a928e554f0c39d1ff6@fedorahosted.org> #82: Package logisim --------------------+------------------------------------------------------- Reporter: scottt | Owner: chitlesh Type: defect | Status: new Priority: major | Milestone: Fedora 13 Component: FEL | Version: devel Keywords: | --------------------+------------------------------------------------------- http://ozark.hendrix.edu/~burch/logisim/ * Description: Logisim is an educational tool for designing and simulating digital logic circuits and can be used (and is used) to design and simulate entire CPUs for educational purposes. Currently used by students at [http://ozark.hendrix.edu/~burch/logisim/usage.html colleges and universities around the world] in many types of classes, ranging from a brief unit on logic in general-education computer science surveys, to computer organization courses, to full-semester courses on computer architecture. * License: GPLv2+ * Requires: Java 1.4 or later (The prebuilt jar does run using Fedora's openjdk) * NOTE: the source code is distributed in an unusual way and is included in the "src/" directory of the same prebuilt jar file -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Sat Dec 5 08:35:56 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Sat, 05 Dec 2009 08:35:56 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #82: Package logisim In-Reply-To: <064.d42fb07c0a0c70a928e554f0c39d1ff6@fedorahosted.org> References: <064.d42fb07c0a0c70a928e554f0c39d1ff6@fedorahosted.org> Message-ID: <073.ab0ba6b1b9a92d4e89e14412865eed94@fedorahosted.org> #82: Package logisim --------------------------+------------------------------------------------- Reporter: scottt | Owner: chitlesh Type: enhancement | Status: new Priority: minor | Milestone: Fedora 13 Component: FEL | Version: devel Resolution: | Keywords: --------------------------+------------------------------------------------- Changes (by scottt): * priority: major => minor * type: defect => enhancement -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Sat Dec 5 10:58:27 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Sat, 05 Dec 2009 10:58:27 -0000 Subject: [Fedora-electronic-lab] [Fedora Electronic Lab] #83: Package TinyOS Message-ID: <069.ecf7b401332077f23f9e9a01abae7920@fedorahosted.org> #83: Package TinyOS --------------------------------------------+------------------------------- Reporter: shakthimaan | Owner: shakthimaan* Type: task | Status: new Priority: minor | Milestone: Fedora 13 Component: embedded design | Version: devel Keywords: Embedded, OS, wireless, sensor | --------------------------------------------+------------------------------- Package TinyOS, a Free/Open Source OS for embedded wireless sensor networks. It is released under BSD license. http://www.tinyos.net/ http://en.wikipedia.org/wiki/TinyOS -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Sat Dec 5 11:00:59 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Sat, 05 Dec 2009 11:00:59 -0000 Subject: [Fedora-electronic-lab] [Fedora Electronic Lab] #84: Package Poky platform builder Message-ID: <069.420febe534f60c8d5ffd0e4a61fde7d8@fedorahosted.org> #84: Package Poky platform builder ----------------------------------------+----------------------------------- Reporter: shakthimaan | Owner: shakthimaan* Type: task | Status: new Priority: minor | Milestone: Fedora 13 Component: embedded design | Version: devel Keywords: Embedded, python, platform | ----------------------------------------+----------------------------------- Poky is a python-based platform builder for embedded devices. Package their stable Pinky (3.1.1) release. http://pokylinux.org/ -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Sat Dec 5 11:05:43 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Sat, 05 Dec 2009 11:05:43 -0000 Subject: [Fedora-electronic-lab] [Fedora Electronic Lab] #85: nesC compiler Message-ID: <069.fd47b8dfa2802940410d52255d19425a@fedorahosted.org> #85: nesC compiler --------------------------------------------------------+------------------- Reporter: shakthimaan | Owner: shakthimaan* Type: task | Status: new Priority: minor | Milestone: Fedora 13 Component: embedded design | Version: devel Keywords: TinyOS, embedded, compiler, sensor network | --------------------------------------------------------+------------------- nesC compiler is used by TinyOS. Package nesC! http://sourceforge.net/projects/nescc/ -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Sat Dec 5 11:13:44 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Sat, 05 Dec 2009 11:13:44 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #85: nesC compiler In-Reply-To: <069.fd47b8dfa2802940410d52255d19425a@fedorahosted.org> References: <069.fd47b8dfa2802940410d52255d19425a@fedorahosted.org> Message-ID: <078.76832db5903a137c6d05df09d6e73bfa@fedorahosted.org> #85: nesC compiler ------------------------------+--------------------------------------------- Reporter: shakthimaan | Owner: chitlesh Type: task | Status: new Priority: minor | Milestone: Fedora 13 Component: embedded design | Version: devel Resolution: | Keywords: TinyOS, embedded, compiler, sensor network ------------------------------+--------------------------------------------- Changes (by chitlesh): * owner: shakthimaan* => chitlesh -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Sat Dec 5 11:22:03 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Sat, 05 Dec 2009 11:22:03 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #85: nesC compiler In-Reply-To: <069.fd47b8dfa2802940410d52255d19425a@fedorahosted.org> References: <069.fd47b8dfa2802940410d52255d19425a@fedorahosted.org> Message-ID: <078.e8e4f55492f9c90d0cfc681fc4399df8@fedorahosted.org> #85: nesC compiler ------------------------------+--------------------------------------------- Reporter: shakthimaan | Owner: chitlesh Type: task | Status: new Priority: minor | Milestone: Fedora 13 Component: embedded design | Version: devel Resolution: | Keywords: TinyOS, embedded, compiler, sensor network ------------------------------+--------------------------------------------- Comment (by chitlesh): Attached draft spec file just builds on F-12 Fixes needed: * fixed build requires * create sub package for emacs * minor fixes in the spec file for timestamps -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From chitlesh at fedoraproject.org Sat Dec 5 11:55:02 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Sat, 5 Dec 2009 12:55:02 +0100 Subject: [Fedora-electronic-lab] User documentation (was Re:Dead Upstream what should we do ?) In-Reply-To: References: <13dbfe4f0912041206o1ccf9020j12c012105e73fc07@mail.gmail.com> Message-ID: <13dbfe4f0912050355i5c4ede3dxedb8046c412a9eb3@mail.gmail.com> On Sat, Dec 5, 2009 at 6:31 AM, Shakthi Kannan wrote: > Can this be done in the RPM packaging process? > > We know the .spec file mentions the -doc files. If we are able to list > and put the location of all these -doc files for a package in a text > file, say package.guide, similar to a .desktop file, an application > can read all these package.guide files from a single directory (say, > /usr/share/guide/*.guide) and show it to the user. Hello Yes, we can. It's up to us to maintain such things. But listing all the doc files off a package will give a lot of outputs, userguides, example directories containing a lot of files etc. # case 1 So at first we need to setup a simple guideline for packaging the docs and work with upstream to improve the quality of doc distribution. Let's say to begin with we ensure that in the doc directory /usr/share/doc/NAME-VERSION/ we have these files: * userguide.pdf * examples/ directory * example1 * example2 hence we can easily sketch a minor script to look for those items and flush its output into a latex file. # case 2 Start writing a userguide in latex. Let's say, in the PCB section, if we point to pcb.pdf coming with the package, we can exact a script against our latex file which will automatically go and search for the exact file path and create a link on the "pcb.pdf" text on our latex file (using the url latex package). My thoughts: Case 1 : we have to work very hard to ensure consistency with all the FEL packages. Time would be a major concern for me. Case 2 : one central location for all the docs (our latex file) and for every release, we execute the script to update and create a pdf. What do you think ? Chitlesh From trac at fedorahosted.org Sat Dec 5 12:24:14 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Sat, 05 Dec 2009 12:24:14 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #75: Package spice-mode for emacs. In-Reply-To: <066.e1b26e399e1acf3acd305e9853086018@fedorahosted.org> References: <066.e1b26e399e1acf3acd305e9853086018@fedorahosted.org> Message-ID: <075.4e07eac2e32bd6b1ba359694883d3377@fedorahosted.org> #75: Package spice-mode for emacs. ----------------------------+----------------------------------------------- Reporter: chitlesh | Owner: sagarun* Type: enhancement | Status: new Priority: major | Milestone: Fedora 13 Component: analog design | Version: devel Resolution: | Keywords: spice, emacs ----------------------------+----------------------------------------------- Changes (by sagarun): * owner: chitlesh => sagarun* -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Sun Dec 6 13:34:15 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Sun, 06 Dec 2009 13:34:15 -0000 Subject: [Fedora-electronic-lab] [Fedora Electronic Lab] #86: Package geda-xgsch2pcb - A graphical front-end for the gschem -> pcb workflow Message-ID: <066.4b4ca70c699eeb6d098cef4190fc73ed@fedorahosted.org> #86: Package geda-xgsch2pcb - A graphical front-end for the gschem -> pcb workflow ------------------------+--------------------------------------------------- Reporter: chitlesh | Owner: chitlesh Type: task | Status: new Priority: major | Milestone: Fedora 14 Component: PCB Layout | Version: devel Keywords: pcb, geda | ------------------------+--------------------------------------------------- = phenomenon = Missing A graphical front-end for the gschem -> pcb workflow = background analysis = xgsch2pcb provides an intuitive, user-friendly graphical interface to the gsch2pcb command-line tool, part of the gEDA suite, which is used to generate and update a PCB layout. It works with schematics created by gschem, part of the gEDA suite, and layouts created by pcb, a PCB layout system commonly used with gEDA. = implementation recommendation = Package geda-xgsch2pcb http://www.gpleda.org/tools/xgsch2pcb/index.html Next Fedora PCB releases will be compiled with --enable-dbus (# Bug 544657) -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Sun Dec 6 13:40:45 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Sun, 06 Dec 2009 13:40:45 -0000 Subject: [Fedora-electronic-lab] [Fedora Electronic Lab] #87: Package eclipse-sdcc - Eclipse plugin for sdcc Message-ID: <066.fdf6285d9634274fb0434fbb45962343@fedorahosted.org> #87: Package eclipse-sdcc - Eclipse plugin for sdcc -----------------------------+---------------------------------------------- Reporter: chitlesh | Owner: konrad at tylerc.org Type: task | Status: new Priority: major | Milestone: Fedora 14 Component: embedded design | Version: devel Keywords: sdcc, embedded | -----------------------------+---------------------------------------------- = phenomenon = Missing eclipse plugin for sdcc = background analysis = The eclipseSDCC project aims to provide full support for the open source Small Device C Compiler (SDCC) from within the eclipse/CDT development environment. This allows embedded 'C' applications for 8051 and Z80 devices to be developed using the fully featured eclipse IDE. EclipseSDCC supports CDT managed make projects. In managed make projects CDT manages the build process by creating and maintaining the underlaying makefiles. CDT keeps track of source dependencies and can automatically rebuild the target when needed. = implementation recommendation = sdcc is already provided on fedora. Since Conrad Meyer has recently taken over sdcc packaging from Trond Danielsen. He was kindly asked whether he is interested in packaging eclipse-sdcc as well. Still waiting for an answer. Package eclipse-sdcc http://eclipse-sdcc.sourceforge.net/ -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From chitlesh at fedoraproject.org Sun Dec 6 14:49:45 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Sun, 6 Dec 2009 15:49:45 +0100 Subject: [Fedora-electronic-lab] gnucap snapshots instead of 0.35 stable Message-ID: <13dbfe4f0912060649m70bb16e8te4898c8ba69d82a5@mail.gmail.com> Hello Rakesh, I would like to ask you whether you can update gnucap package from the 0.35 stable to the latest snapshots please ? Sure, this should first hit testing repositories. The latest snapshot contains a lot of enhancement for true mixed-mode simulation. Since Al Davis (upstream) is working behind systemc implementation on these snapshots, it will help us evaluate whether we can either spend it is worth to spend some time behind either sampalib - https://fedorahosted.org/fedora-electronic-lab/ticket/34 systemcass - https://fedorahosted.org/fedora-electronic-lab/ticket/37 knowing the fact that we can't package systemc for fedora due to licensing issues. Kind regards, Chitlesh -- Chitlesh GOORAH http://spins.fedoraproject.org/fel From t.sailer at alumni.ethz.ch Sun Dec 6 16:57:43 2009 From: t.sailer at alumni.ethz.ch (Thomas Sailer) Date: Sun, 06 Dec 2009 17:57:43 +0100 Subject: [Fedora-electronic-lab] gnucap snapshots instead of 0.35 stable In-Reply-To: <13dbfe4f0912060649m70bb16e8te4898c8ba69d82a5@mail.gmail.com> References: <13dbfe4f0912060649m70bb16e8te4898c8ba69d82a5@mail.gmail.com> Message-ID: <1260118663.2391.9.camel@playstation.localdomain> FYI, I played around with gnucap snapshots some time ago: http://sailer.fedorapeople.org/gnucap36-20090813-1.fc11.src.rpm This package should be parallel-installable to gnucap. Unfortunately I wasn't able to get netlists with bsim transistor models to work. Seems like spice input isn't too reliable... Tom From chitlesh at fedoraproject.org Sun Dec 6 18:45:04 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Sun, 6 Dec 2009 19:45:04 +0100 Subject: [Fedora-electronic-lab] gnucap snapshots instead of 0.35 stable In-Reply-To: <1260118663.2391.9.camel@playstation.localdomain> References: <13dbfe4f0912060649m70bb16e8te4898c8ba69d82a5@mail.gmail.com> <1260118663.2391.9.camel@playstation.localdomain> Message-ID: <13dbfe4f0912061045p4c9c6d94l7b178ec6e2c4d0e@mail.gmail.com> On Sun, Dec 6, 2009 at 5:57 PM, Thomas Sailer wrote: > FYI, I played around with gnucap snapshots some time ago: > http://sailer.fedorapeople.org/gnucap36-20090813-1.fc11.src.rpm > This package should be parallel-installable to gnucap. > > Unfortunately I wasn't able to get netlists with bsim transistor models > to work. Seems like spice input isn't too reliable... > Send a mail to Al Davis to report this issue. He would be pleased to have some feedbacks. Since he is working on so many backends, some part could be broken. Please report this to him so that they can be fixed early. Chitlesh From trac at fedorahosted.org Sun Dec 6 20:04:30 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Sun, 06 Dec 2009 20:04:30 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #76: Work with upstream to update vhdl-mode in Emacs In-Reply-To: <066.f66769eb2ab873954ca799a799f46c2a@fedorahosted.org> References: <066.f66769eb2ab873954ca799a799f46c2a@fedorahosted.org> Message-ID: <075.cf3ecab0c8d87f86762e8377c3b70d6a@fedorahosted.org> #76: Work with upstream to update vhdl-mode in Emacs ---------------------------+------------------------------------------------ Reporter: chitlesh | Owner: chitlesh Type: upstream | Status: new Priority: major | Milestone: Upstream Component: Starter task | Version: devel Resolution: | Keywords: ---------------------------+------------------------------------------------ Changes (by chitlesh): * owner: shakthimaan => chitlesh Comment: I've requested upstream to vhdl-mode and verilog-mode. http://lists.gnu.org/archive/html/bug-gnu-emacs/2009-12/index.html -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Sun Dec 6 21:21:29 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Sun, 06 Dec 2009 21:21:29 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #87: Package eclipse-sdcc - Eclipse plugin for sdcc In-Reply-To: <066.fdf6285d9634274fb0434fbb45962343@fedorahosted.org> References: <066.fdf6285d9634274fb0434fbb45962343@fedorahosted.org> Message-ID: <075.2cd3b6a7e14b08574382d6cda1c4940d@fedorahosted.org> #87: Package eclipse-sdcc - Eclipse plugin for sdcc ------------------------------+--------------------------------------------- Reporter: chitlesh | Owner: konrad at tylerc.org Type: task | Status: new Priority: major | Milestone: Fedora 14 Component: embedded design | Version: devel Resolution: | Keywords: sdcc, embedded ------------------------------+--------------------------------------------- Comment (by konradm): Hi, I am not an eclipse user, nor am I especially interested in packaging java programs, plugins, etc. Keep in mind that sdcc doesn't even build right now, because the hacks that kept rpmbuild from trying to strip debugging information for other archs stopped working. -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From chitlesh at fedoraproject.org Mon Dec 7 07:28:47 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Mon, 7 Dec 2009 08:28:47 +0100 Subject: [Fedora-electronic-lab] Re: gnucap snapshots instead of 0.35 stable In-Reply-To: <4B1C9B79.6080606@redhat.com> References: <13dbfe4f0912060649m70bb16e8te4898c8ba69d82a5@mail.gmail.com> <4B1C9B79.6080606@redhat.com> Message-ID: <13dbfe4f0912062328m3a00862dpb8bc8b553872cf8b@mail.gmail.com> On Mon, Dec 7, 2009 at 7:06 AM, Rakesh Pandit <> wrote: > Thanks Chitlesh, I will pick it up in a day or two. But, are you bit of sure > that snapshots are stable enough to be pushed in rawhide. > > I would surely push it in rawhide and sort of test it a bit, before planning > for stable. In case you can confirm that it is stable enough in rawhide then > in a week or so we can push it to testing. > > @ cc gcompris maintainer . I haven't yet tested these gnucap snapshots. However Al Davis is regularly releasing gnucap snapshots. So if we feed him with our feedbacks, I think he will be pleased to get them fixed. But if it breaks gcompris then we shouldn't update it. I would suggest that you create some scratch builds and put them into your FASusername.fedorapeople.org for the time being and notify the FEL's mailing list when you update your private builds. Hence we can test them. http://www.redhat.com/mailman/listinfo/fedora-electronic-lab-list The reason why I want to get these gnucap snapshots is because we have 7 standard cell libraries (more than 300MB) (pharosc) which needs to be re-characterised, especially those of 0.13?m. Upstream is using "winspice" to characterise them since some features on gnucap or ngspice are still missing for such advanced characterisations. Kind regards, Chitlesh From rpandit at redhat.com Mon Dec 7 06:06:49 2009 From: rpandit at redhat.com (Rakesh Pandit) Date: Mon, 07 Dec 2009 11:36:49 +0530 Subject: [Fedora-electronic-lab] Re: gnucap snapshots instead of 0.35 stable In-Reply-To: <13dbfe4f0912060649m70bb16e8te4898c8ba69d82a5@mail.gmail.com> References: <13dbfe4f0912060649m70bb16e8te4898c8ba69d82a5@mail.gmail.com> Message-ID: <4B1C9B79.6080606@redhat.com> On 12/06/2009 08:19 PM, Chitlesh GOORAH wrote: > Hello Rakesh, > > I would like to ask you whether you can update gnucap package from the > 0.35 stable to the latest snapshots please ? Sure, this should first > hit testing repositories. The latest snapshot contains a lot of > enhancement for true mixed-mode simulation. Since Al Davis (upstream) > is working behind systemc implementation on these snapshots, it will > help us evaluate whether we can either spend it is worth to spend some > time behind either > > sampalib - https://fedorahosted.org/fedora-electronic-lab/ticket/34 > systemcass - https://fedorahosted.org/fedora-electronic-lab/ticket/37 > > knowing the fact that we can't package systemc for fedora due to > licensing issues. > [..] Thanks Chitlesh, I will pick it up in a day or two. But, are you bit of sure that snapshots are stable enough to be pushed in rawhide. I would surely push it in rawhide and sort of test it a bit, before planning for stable. In case you can confirm that it is stable enough in rawhide then in a week or so we can push it to testing. @ cc gcompris maintainer . Thanks, -- Rakesh Pandit Red Hat Engineer - India, Pune Looking to carve out IT costs? www.apac.redhat.com/promo/carveoutcosts/ From tomkonikkara at gmail.com Mon Dec 7 08:20:04 2009 From: tomkonikkara at gmail.com (Tom varghese) Date: Mon, 7 Dec 2009 16:20:04 +0800 Subject: [Fedora-electronic-lab] Video tutorials Message-ID: <5124c8500912070020w60d75f4au64dcc15dcc5d3410@mail.gmail.com> Hi there, I am ready to make some video tutorials of FEL which will be very useful for beginners. I first tried 'recordmydesktop' which is not much good. Please suggest one good screen recorder program for fedora 12 gnome. I think there is no any video tutorials for FEL available. Thank you all -- Knowledge is free. Free means open source... http://opentechlab.blogspot.com -------------- next part -------------- An HTML attachment was scrubbed... URL: From chitlesh at fedoraproject.org Mon Dec 7 09:16:49 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Mon, 7 Dec 2009 10:16:49 +0100 Subject: [Fedora-electronic-lab] Video tutorials In-Reply-To: <5124c8500912070020w60d75f4au64dcc15dcc5d3410@mail.gmail.com> References: <5124c8500912070020w60d75f4au64dcc15dcc5d3410@mail.gmail.com> Message-ID: <13dbfe4f0912070116r162564c1o734922cc715103db@mail.gmail.com> On Mon, Dec 7, 2009 at 9:20 AM, Tom varghese < > wrote: > Hi there, > I am ready to make some video tutorials of FEL which will be very useful for > beginners. I first tried 'recordmydesktop' which is not much good. Please > suggest one good screen recorder program for fedora 12 gnome. I think there > is no any video tutorials for FEL available. > Thank you all Hello there, I welcome the initiative (actually a very good idea for a Miro channel :) ) I don't have an experience with screencasting. There is a wiki page http://fedoraproject.org/wiki/ScreenCasting which basically details some startup points. Chitlesh -- Chitlesh GOORAH Fedora Electronic Lab Architect http://spins.fedoraproject.org/fel From t.sailer at alumni.ethz.ch Mon Dec 7 09:48:25 2009 From: t.sailer at alumni.ethz.ch (Thomas Sailer) Date: Mon, 07 Dec 2009 10:48:25 +0100 Subject: [Fedora-electronic-lab] Re: gnucap snapshots instead of 0.35 stable In-Reply-To: <4B1C9B79.6080606@redhat.com> References: <13dbfe4f0912060649m70bb16e8te4898c8ba69d82a5@mail.gmail.com> <4B1C9B79.6080606@redhat.com> Message-ID: <1260179305.2234.11.camel@playstation.localdomain> On Mon, 2009-12-07 at 11:36 +0530, Rakesh Pandit wrote: > Thanks Chitlesh, I will pick it up in a day or two. But, are you bit of > sure that snapshots are stable enough to be pushed in rawhide. The main problem IMO is that the "user interface" (read the command language) has changed significantly, to the point that pretty much none of my netlists that worked with 0.35 work anymore. And I have not real idea how to fix this, because documentation and examples are scarce. On Mon, 2009-12-07 at 08:28 +0100, Chitlesh GOORAH wrote: > I would suggest that you create some scratch builds and put them into > your FASusername.fedorapeople.org for the time being and notify the > FEL's mailing list when you update your private builds. Hence we can > test them. I put the current snapshot source RPM onto: http://sailer.fedorapeople.org/gnucap36-20091110-1.fc12.src.rpm Only the source RPM though due to space limitations. > The reason why I want to get these gnucap snapshots is because we have > 7 standard cell libraries (more than 300MB) (pharosc) which needs to > be re-characterised, especially those of 0.13?m. Upstream is using > "winspice" to characterise them since some features on gnucap or > ngspice are still missing for such advanced characterisations. Can you elaborate? I have characterized a couple of commercial libraries by now using an ngspice CVS snapshot between rework19 and rework20, and now with your rework20 package. Earlier versions indeed had some limitations (missing .measure features) that made characterizing advanced flops impossible, but then again pharosc doesn't have any advanced flops. Tom From chitlesh at fedoraproject.org Mon Dec 7 10:10:02 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Mon, 7 Dec 2009 11:10:02 +0100 Subject: [Fedora-electronic-lab] Re: gnucap snapshots instead of 0.35 stable In-Reply-To: <1260179305.2234.11.camel@playstation.localdomain> References: <13dbfe4f0912060649m70bb16e8te4898c8ba69d82a5@mail.gmail.com> <4B1C9B79.6080606@redhat.com> <1260179305.2234.11.camel@playstation.localdomain> Message-ID: <13dbfe4f0912070210q17488ba1l6674261373a64bea@mail.gmail.com> On Mon, Dec 7, 2009 at 10:48 AM, Thomas Sailer wrote: > The main problem IMO is that the "user interface" (read the command > language) has changed significantly, to the point that pretty much none > of my netlists that worked with 0.35 work anymore. And I have not real > idea how to fix this, because documentation and examples are scarce. If your netlists are opensource, can you place a copy on our FEL git repo please ? It will serve a testing. Little by little we are setting up a testing suite which we can use to ensure that the EDA softwares are working on the Livedvd. https://fedorahosted.org/fedora-electronic-lab/wiki/developers#UsingFELgitrepository > Can you elaborate? I have characterized a couple of commercial libraries > by now using an ngspice CVS snapshot between rework19 and rework20, and > now with your rework20 package. Earlier versions indeed had some > limitations (missing .measure features) that made characterizing > advanced flops impossible, but then again pharosc doesn't have any > advanced flops. Indeed the .measure features were missing. Do you want to co-maintain ngspice with me ? But if you are pulling some features from CVS, other users will have the same issues. As for pharosc, I had to strip winspice and other binaries from the sources. I don't know about what exactly. However GrahamPetley sometimes talk about them. If you need more information, it's better to start another thread with him in CC: so that we can track every missing feature. Chitlesh -- Chitlesh GOORAH Fedora Electronic Lab Architect http://spins.fedoraproject.org/fel From trac at fedorahosted.org Mon Dec 7 13:35:26 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Mon, 07 Dec 2009 13:35:26 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #37: Package SystemCASS is a SystemC simulator , ( 15 times faster than OSCI's simulator (SystemC 2.1.v1). In-Reply-To: <066.20b43adc478dfac572520a0ec6848c14@fedorahosted.org> References: <066.20b43adc478dfac572520a0ec6848c14@fedorahosted.org> Message-ID: <075.b3a6904f491a5d9da25b1cfbd3c054bb@fedorahosted.org> #37: Package SystemCASS is a SystemC simulator ,( 15 times faster than OSCI's simulator (SystemC 2.1.v1). --------------------------------------------+------------------------------- Reporter: chitlesh | Owner: chitlesh Type: task | Status: new Priority: major | Milestone: Fedora 13 Component: Electronic System Level (ESL) | Version: devel Resolution: | Keywords: --------------------------------------------+------------------------------- Comment (by chitlesh): * Need a maintainer for systemcass. * Testing should be done as well. -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From lane at brooks.nu Mon Dec 7 15:34:45 2009 From: lane at brooks.nu (Lane Brooks) Date: Mon, 07 Dec 2009 08:34:45 -0700 Subject: [Fedora-electronic-lab] Video tutorials In-Reply-To: <13dbfe4f0912070116r162564c1o734922cc715103db@mail.gmail.com> References: <5124c8500912070020w60d75f4au64dcc15dcc5d3410@mail.gmail.com> <13dbfe4f0912070116r162564c1o734922cc715103db@mail.gmail.com> Message-ID: <4B1D2095.2010603@brooks.nu> On 12/07/2009 02:16 AM, Chitlesh GOORAH wrote: > On Mon, Dec 7, 2009 at 9:20 AM, Tom varghese< > wrote: >> Hi there, >> I am ready to make some video tutorials of FEL which will be very useful for >> beginners. I first tried 'recordmydesktop' which is not much good. Please >> suggest one good screen recorder program for fedora 12 gnome. I think there >> is no any video tutorials for FEL available. >> Thank you all > > > Hello there, > > I welcome the initiative (actually a very good idea for a Miro channel :) ) > > I don't have an experience with screencasting. There is a wiki page > http://fedoraproject.org/wiki/ScreenCasting which basically details > some startup points. > > Chitlesh I have used istanbul. Lane From trac at fedorahosted.org Mon Dec 7 16:52:16 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Mon, 07 Dec 2009 16:52:16 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #84: Package Poky platform builder In-Reply-To: <069.420febe534f60c8d5ffd0e4a61fde7d8@fedorahosted.org> References: <069.420febe534f60c8d5ffd0e4a61fde7d8@fedorahosted.org> Message-ID: <078.ed06bed37288e2772bc4a1cf214acd5e@fedorahosted.org> #84: Package Poky platform builder ------------------------------+--------------------------------------------- Reporter: shakthimaan | Owner: shakthimaan* Type: task | Status: new Priority: minor | Milestone: Fedora 13 Component: embedded design | Version: devel Resolution: | Keywords: Embedded, python, platform ------------------------------+--------------------------------------------- Comment (by shakthimaan): I checked with upstream and they recommend the developer to get the Poky sources and build it in the directory of their preference. But, only poky- depends (contains list of dependency packages) and poky-scripts (contains bash scripts) need to be packaged. E-mail reference: http://lists.o-hand.com/poky/1108.html Should the Fedora packages also be called as poky-depends and poky- scripts? Or is there a naming convention for a package that only installs dependency software? All dependency software are available on Fedora. -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Mon Dec 7 18:24:50 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Mon, 07 Dec 2009 18:24:50 -0000 Subject: [Fedora-electronic-lab] [Fedora Electronic Lab] #88: revamp PCB command reference PDF document Message-ID: <066.7430255c758e604cc0b34e28057222ad@fedorahosted.org> #88: revamp PCB command reference PDF document ---------------------------+------------------------------------------------ Reporter: chitlesh | Owner: chitlesh Type: defect | Status: new Priority: major | Milestone: Upstream Component: Documentation | Version: devel Keywords: pcb | ---------------------------+------------------------------------------------ = bug description = In the current PCB package : pcb-0.20091103-1.fc12.i686, the PCB command reference PDF document is out of sync from the old latex sources = fix recommendation = Revamp the entire layout and send upstream a patch -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From chitlesh.goorah at gmail.com Mon Dec 7 19:01:11 2009 From: chitlesh.goorah at gmail.com (Chitlesh GOORAH) Date: Mon, 7 Dec 2009 20:01:11 +0100 Subject: [Fedora-electronic-lab] pcb : updated command reference doc Message-ID: <50baabb30912071101s345febe5j24521cc5c8daa737@mail.gmail.com> Hello there, The current layout of the PCB command reference PDF document (the one currently being shipped) is broken. It looks like it on life support. http://chitlesh.fedorapeople.org/pcb/refcard_old.pdf I've updated only the layout of refcard.tex http://chitlesh.fedorapeople.org/pcb/refcard.tex to give http://chitlesh.fedorapeople.org/pcb/refcard.pdf . Unfortunately, I don't have enough time currently to update it to the latest key shortcuts. Can you guys please apply it to the next release ? I hope someone will update it in the meantime, else I'll dig into it next year. Chitlesh From trac at fedorahosted.org Mon Dec 7 19:11:38 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Mon, 07 Dec 2009 19:11:38 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #88: revamp PCB command reference PDF document In-Reply-To: <066.7430255c758e604cc0b34e28057222ad@fedorahosted.org> References: <066.7430255c758e604cc0b34e28057222ad@fedorahosted.org> Message-ID: <075.1a1a22d881d601d38e4115f2f4e02f8a@fedorahosted.org> #88: revamp PCB command reference PDF document ----------------------------+----------------------------------------------- Reporter: chitlesh | Owner: chitlesh Type: defect | Status: closed Priority: major | Milestone: Upstream Component: Documentation | Version: devel Resolution: fixed | Keywords: pcb ----------------------------+----------------------------------------------- Changes (by chitlesh): * status: new => closed * resolution: => fixed Comment: Done. Upstream was contacted accordingly. -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Mon Dec 7 19:15:02 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Mon, 07 Dec 2009 19:15:02 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #86: Package geda-xgsch2pcb - A graphical front-end for the gschem -> pcb workflow In-Reply-To: <066.4b4ca70c699eeb6d098cef4190fc73ed@fedorahosted.org> References: <066.4b4ca70c699eeb6d098cef4190fc73ed@fedorahosted.org> Message-ID: <075.c7cfc96c0704055c3ea5dbfeb0e30cf6@fedorahosted.org> #86: Package geda-xgsch2pcb - A graphical front-end for the gschem -> pcb workflow -------------------------+-------------------------------------------------- Reporter: chitlesh | Owner: chitlesh Type: task | Status: new Priority: major | Milestone: Fedora 14 Component: PCB Layout | Version: devel Resolution: | Keywords: pcb, geda -------------------------+-------------------------------------------------- Changes (by chitlesh): * cc: max.salov at gmail.com (added) -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Mon Dec 7 20:27:44 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Mon, 07 Dec 2009 20:27:44 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #84: Package Poky platform builder In-Reply-To: <069.420febe534f60c8d5ffd0e4a61fde7d8@fedorahosted.org> References: <069.420febe534f60c8d5ffd0e4a61fde7d8@fedorahosted.org> Message-ID: <078.03ff7b3e071333346ae3744578c8658d@fedorahosted.org> #84: Package Poky platform builder ------------------------------+--------------------------------------------- Reporter: shakthimaan | Owner: shakthimaan* Type: task | Status: new Priority: minor | Milestone: Fedora 13 Component: embedded design | Version: devel Resolution: | Keywords: Embedded, python, platform ------------------------------+--------------------------------------------- Comment (by chitlesh): Fedora's packaging guidelines stresses that we use upstream's naming. So poky-depends and poky-scripts are fine for me. -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Tue Dec 8 00:29:39 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Tue, 08 Dec 2009 00:29:39 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #77: Check the following features on xcircuit with upstream In-Reply-To: <066.abb219a68167527bbd335a602129946c@fedorahosted.org> References: <066.abb219a68167527bbd335a602129946c@fedorahosted.org> Message-ID: <075.ae4e213798daadc10619799f48a319b6@fedorahosted.org> #77: Check the following features on xcircuit with upstream ----------------------------+----------------------------------------------- Reporter: chitlesh | Owner: chitlesh Type: defect | Status: closed Priority: major | Milestone: Upstream Component: analog design | Version: devel Resolution: fixed | Keywords: xcircuit, edif ----------------------------+----------------------------------------------- Changes (by chitlesh): * status: assigned => closed * resolution: => fixed Comment: Bug #003 fixed after patching ngspice-20. -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From davidjohn.in at gmail.com Tue Dec 8 08:55:47 2009 From: davidjohn.in at gmail.com (David John) Date: Tue, 8 Dec 2009 14:25:47 +0530 Subject: [Fedora-electronic-lab] Re: Fedora-electronic-lab-list Digest, Vol 18, Issue 5 In-Reply-To: <20091205083611.0480F61C36E@hormel.redhat.com> References: <20091205083611.0480F61C36E@hormel.redhat.com> Message-ID: > > > Hello there, > > As many of you already know that the FEL[1] team wants to ensure that > opensource tools can be used for the real life, we would appreciate > some help in terms of such documentation. > > If you are familiar with tools like iverilog and ghdl, you can help us > show the world what opensource EDA tools and opensource design flows > can achieve. I welcome you to take one of the _completed_ opencores[2] > projects and try to simulate it with ghdl or iverilog. Detail your > procedures on > > > https://fedorahosted.org/fedora-electronic-lab/wiki/Demos/opencores/CHOSENPROJECT > You can also upload your screenshots to that wiki page. To edit on > that wiki page, please login with your FAS[4] username. > Hi Chitlesh This is a pointer that the Openrisc processor from Opencores works with iverilog & gtkwave. http://www.opencores.org/openrisc,vmware I have used this on the Ubuntu virtual machine as shown on the page and it works. It has not been tested on FEL, but the same setup should work. Regards David -------------- next part -------------- An HTML attachment was scrubbed... URL: From chitlesh at fedoraproject.org Tue Dec 8 09:31:59 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Tue, 8 Dec 2009 10:31:59 +0100 Subject: [Fedora-electronic-lab] Re: Fedora-electronic-lab-list Digest, Vol 18, Issue 5 In-Reply-To: References: <20091205083611.0480F61C36E@hormel.redhat.com> Message-ID: <13dbfe4f0912080131n7e824781mf479404f4a35372@mail.gmail.com> On Tue, Dec 8, 2009 at 9:55 AM, David John <> wrote: > Hi Chitlesh > ? This is a pointer that the Openrisc processor from Opencores works with > iverilog & gtkwave. > http://www.opencores.org/openrisc,vmware > > I have used this on the Ubuntu virtual machine as shown on the page and it > works. It has not been tested on FEL, but the same setup should work. Hello there, thanks for the heads up, David. Actually we don't ship vmware, thus it's not wise to show that to the user. However my request was to help us write such type of technical doc and not to have me write it :D hehehe Technically it SHOULD be compatible with FEL, since all the core FEL software are following upstream latest releases. Some are also got patches which have been ported from upstream so that some extra features can be enabled. Talking about that let me remind you guys that we have iverilog v0.9.2 prerelease in updates-testing. The final release v0.9.2 will be out soon after fixing one last blocker about (NaN versus -NaN). It brings lots of improvements over the 0.9.1 release. Coming back to documentation, I've also setting wikipages such as the following, which details how testing can be carried on the EDA tools. https://fedorahosted.org/fedora-electronic-lab/wiki/Testing/iverilog Feel free to create page https://fedorahosted.org/fedora-electronic-lab/wiki/Testing/TOOL which details testing procedure you consider which matters to you on it. We can get a sufficient amount of materials for testing, we can organized Testing Days with upstream present. However to realise this, we need some support from people outside the FEL team circle too. -- Chitlesh GOORAH Fedora Electronic Lab http://spins.fedoraproject.org/fel From trac at fedorahosted.org Wed Dec 9 07:27:42 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Wed, 09 Dec 2009 07:27:42 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #86: Package geda-xgsch2pcb - A graphical front-end for the gschem -> pcb workflow In-Reply-To: <066.4b4ca70c699eeb6d098cef4190fc73ed@fedorahosted.org> References: <066.4b4ca70c699eeb6d098cef4190fc73ed@fedorahosted.org> Message-ID: <075.90cf00de47097cd89d7a176d46a2e2a4@fedorahosted.org> #86: Package geda-xgsch2pcb - A graphical front-end for the gschem -> pcb workflow -------------------------+-------------------------------------------------- Reporter: chitlesh | Owner: chitlesh Type: task | Status: new Priority: major | Milestone: Fedora 14 Component: PCB Layout | Version: devel Resolution: | Keywords: pcb, geda -------------------------+-------------------------------------------------- Comment (by amx): There is an issue with configure script runned on %build stage of rpmbuild. It ends up with error:[[BR]] checking for python module pygtk... yes[[BR]] checking for pygtk 2.0... yes[[BR]] checking for python module gobject... yes[[BR]] checking for python module gtk... no[[BR]] configure: error: python module gtk is required[[BR]] error: Bad exit status from /var/tmp/rpm-tmp.30453 (%build)[[BR]] But if run from command line by hand[[BR]] $ tar xvf ./geda-xgsch2pcb-0.1.2.tar.gz && cd geda-xgsch2pcb-0.1.2 && ./configure[[BR]] python modules are found and make process can be started. All required modules are installed, and compiled xgsch2pcb works correctly.[[BR]] Any ideas? -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Wed Dec 9 07:28:58 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Wed, 09 Dec 2009 07:28:58 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #77: Check the following features on xcircuit with upstream In-Reply-To: <066.abb219a68167527bbd335a602129946c@fedorahosted.org> References: <066.abb219a68167527bbd335a602129946c@fedorahosted.org> Message-ID: <075.1ad00b5a3020c9e36559a04bf23b474c@fedorahosted.org> #77: Check the following features on xcircuit with upstream ----------------------------+----------------------------------------------- Reporter: chitlesh | Owner: chitlesh Type: defect | Status: reopened Priority: major | Milestone: Upstream Component: analog design | Version: devel Resolution: | Keywords: xcircuit, edif ----------------------------+----------------------------------------------- Changes (by chitlesh): * status: closed => reopened * resolution: fixed => Comment: == Bug !#007 == ::xcircuit::spice2symbol can't identify ".dialog.techself.techselect". === Steps to reproduce === * On xcircuit's tcl console: {{{ ::xcircuit::spice2symbol rpmbuild/BUILD/pharosc-8.5/xcircuit/subckt/rgalib/aoi21v0x1.spi }}} * The make::symbol dialog box popups in. When the "Ok" button is clicked, an error dialog popups and the symbol is not created. === Results with v3.6.164 === {{{ invalid command name ".dialog.techself.techselect" invalid command name ".dialog.techself.techselect" while executing ".dialog.techself.techselect cget -text" (procedure "xcircuit::makesymbol" line 6) invoked from within "xcircuit::makesymbol rpmbuild/BUILD/pharosc-8.5/xcircuit/subckt/rgalib/aoi21v0x1.spi {a1 a2 b vdd vss z}" invoked from within ".dialog.bbar.okay invoke" ("uplevel" body line 1) invoked from within "uplevel #0 [list $w invoke]" (procedure "tk::ButtonUp" line 22) invoked from within "tk::ButtonUp .dialog.bbar.okay" (command bound to event) }}} === Status === Upstream was contacted and pending. -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Wed Dec 9 08:00:27 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Wed, 09 Dec 2009 08:00:27 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #77: Check the following features on xcircuit with upstream In-Reply-To: <066.abb219a68167527bbd335a602129946c@fedorahosted.org> References: <066.abb219a68167527bbd335a602129946c@fedorahosted.org> Message-ID: <075.4def2aa762609d165132889badcace8c@fedorahosted.org> #77: Check the following features on xcircuit with upstream ----------------------------+----------------------------------------------- Reporter: chitlesh | Owner: chitlesh Type: defect | Status: reopened Priority: major | Milestone: Upstream Component: analog design | Version: devel Resolution: | Keywords: xcircuit, edif ----------------------------+----------------------------------------------- Comment (by chitlesh): == Obsolete !#001 == This ::xcircuit::promptimportspice purpose is under investigation since xcircuit is not compiled with automatic schematic generation (ASG) support. https://fedorahosted.org/fedora-electronic- lab/wiki/Analog/xcircuit#Caution -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Wed Dec 9 15:55:21 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Wed, 09 Dec 2009 15:55:21 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #84: Package Poky platform builder In-Reply-To: <069.420febe534f60c8d5ffd0e4a61fde7d8@fedorahosted.org> References: <069.420febe534f60c8d5ffd0e4a61fde7d8@fedorahosted.org> Message-ID: <078.4239f87d05ae9a6e5338e326fd7dbf8e@fedorahosted.org> #84: Package Poky platform builder ------------------------------+--------------------------------------------- Reporter: shakthimaan | Owner: shakthimaan* Type: task | Status: new Priority: minor | Milestone: Fedora 13 Component: embedded design | Version: devel Resolution: | Keywords: Embedded, python, platform ------------------------------+--------------------------------------------- Comment (by shakthimaan): Review request for poky-depends and poky-scripts at: https://bugzilla.redhat.com/show_bug.cgi?id=545893 https://bugzilla.redhat.com/show_bug.cgi?id=545895 Was able to test run pre-built poky images with poky-qemu. -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Wed Dec 9 16:19:14 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Wed, 09 Dec 2009 16:19:14 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #84: Package Poky platform builder In-Reply-To: <069.420febe534f60c8d5ffd0e4a61fde7d8@fedorahosted.org> References: <069.420febe534f60c8d5ffd0e4a61fde7d8@fedorahosted.org> Message-ID: <078.77f03e0b303bb134aae633ec8cc23939@fedorahosted.org> #84: Package Poky platform builder ------------------------------+--------------------------------------------- Reporter: shakthimaan | Owner: chitlesh Type: task | Status: new Priority: minor | Milestone: Fedora 13 Component: embedded design | Version: devel Resolution: | Keywords: Embedded, python, platform ------------------------------+--------------------------------------------- Changes (by chitlesh): * owner: shakthimaan* => chitlesh Comment: Hello, I'll take the reviews tonight. Some quick thoughts : * keep timestamps (-p) e.g "install -pm xxx" * check the url of poky-depends * I haven't look at it in detail, but it seems to me that poky-depends is a metapackage. Normally, fedora tries to stay away from the metapackage, because it's one source of the rpm dependency hells. If in the future the packaging guidelines gets strict you would be asked to retire that package. If it is a metapackage as I think, I would suggest that in poky- scripts you create a subpackage with -n "poky-depends" instead and puts the requires accordingly. It would complicate the spec file, however you will be in the safe side if the packaging guidelines changes. e.g of creation of subpackage with -n http://cvs.fedora.redhat.com/viewvc/F-11/geda-gaf/geda- gaf.spec?view=markup&sortby=rev -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Wed Dec 9 17:44:41 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Wed, 09 Dec 2009 17:44:41 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #84: Package Poky platform builder In-Reply-To: <069.420febe534f60c8d5ffd0e4a61fde7d8@fedorahosted.org> References: <069.420febe534f60c8d5ffd0e4a61fde7d8@fedorahosted.org> Message-ID: <078.4c02a621589b81c86c962af0946d7e0e@fedorahosted.org> #84: Package Poky platform builder ------------------------------+--------------------------------------------- Reporter: shakthimaan | Owner: chitlesh Type: task | Status: new Priority: minor | Milestone: Fedora 13 Component: embedded design | Version: devel Resolution: | Keywords: Embedded, python, platform ------------------------------+--------------------------------------------- Comment (by shakthimaan): * Used -pm for %{__install} * Removed poky-depends.spec and created a sub-package for the same in poky-scripts.spec. Updated: https://bugzilla.redhat.com/show_bug.cgi?id=545895#c1 -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Thu Dec 10 07:37:46 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Thu, 10 Dec 2009 07:37:46 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #50: (needs packager) irsim-mode for emacs In-Reply-To: <066.17171b9b4665eefe99b9e2f42af0d4a6@fedorahosted.org> References: <066.17171b9b4665eefe99b9e2f42af0d4a6@fedorahosted.org> Message-ID: <075.340c5be348edf3b72b429054db2edf12@fedorahosted.org> #50: (needs packager) irsim-mode for emacs -----------------------------+---------------------------------------------- Reporter: chitlesh | Owner: sagarun* Type: task | Status: closed Priority: major | Milestone: Fedora 13 Component: digital design | Version: devel Resolution: fixed | Keywords: -----------------------------+---------------------------------------------- Changes (by sagarun): * status: new => closed * resolution: => fixed Comment: Please feel free to reopen this task if needed. -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Thu Dec 10 18:47:36 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Thu, 10 Dec 2009 18:47:36 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #51: chalmers-lava2000 In-Reply-To: <069.011d20e256f8515a8c8833c7e0b876a8@fedorahosted.org> References: <069.011d20e256f8515a8c8833c7e0b876a8@fedorahosted.org> Message-ID: <078.947fc8fb4c43db04a1d4dbbbad982cff@fedorahosted.org> #51: chalmers-lava2000 --------------------------+------------------------------------------------- Reporter: shakthimaan | Owner: shakthimaan Type: defect | Status: assigned Priority: major | Milestone: Fedora 13 Component: FEL | Version: devel Resolution: | Keywords: --------------------------+------------------------------------------------- Changes (by shakthimaan): * owner: shakthimaan* => shakthimaan * status: new => assigned Comment: Review request at: https://bugzilla.redhat.com/show_bug.cgi?id=546376 Have tested this package with example code by compiling with ghc and from the ghci interpreter. -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From shakthimaan at gmail.com Fri Dec 11 06:58:05 2009 From: shakthimaan at gmail.com (Shakthi Kannan) Date: Fri, 11 Dec 2009 12:28:05 +0530 Subject: [Fedora-electronic-lab] TAPR Open Hardware License Message-ID: Hi, Can you please clarify if the TAPR Open Hardware License is an accepted license for Fedora? If not, should we check with Fedora Legal? If yes, how do we package the content to FEL? http://www.tapr.org/ohl.html Thanks! SK -- Shakthi Kannan http://www.shakthimaan.com From chitlesh at fedoraproject.org Fri Dec 11 07:25:21 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Fri, 11 Dec 2009 08:25:21 +0100 Subject: [Fedora-electronic-lab] TAPR Open Hardware License In-Reply-To: References: Message-ID: <13dbfe4f0912102325i6eeefeb5rce51274ec5dc02@mail.gmail.com> On Fri, Dec 11, 2009 at 7:58 AM, Shakthi Kannan wrote: > Hi, > > Can you please clarify if the TAPR Open Hardware License is an > accepted license for Fedora? If not, should we check with Fedora > Legal? If yes, how do we package the content to FEL? > > http://www.tapr.org/ohl.html > I think it could be accepted. However, it would be better that Fedora Legal add it into the licensing matrix: http://fedoraproject.org/wiki/Licensing Please ask the Fedora Legal about this. Chitlesh -- Chitlesh GOORAH Fedora Electronic Lab http://spins.fedoraproject.org/fel From shakthimaan at gmail.com Sat Dec 12 06:14:35 2009 From: shakthimaan at gmail.com (Shakthi Kannan) Date: Sat, 12 Dec 2009 11:44:35 +0530 Subject: [Fedora-electronic-lab] TAPR Open Hardware License In-Reply-To: <13dbfe4f0912102325i6eeefeb5rce51274ec5dc02@mail.gmail.com> References: <13dbfe4f0912102325i6eeefeb5rce51274ec5dc02@mail.gmail.com> Message-ID: Hi, --- On Fri, Dec 11, 2009 at 12:55 PM, Chitlesh GOORAH wrote: | I think it could be accepted. However, it would be better that Fedora | Legal add it into the licensing matrix: | http://fedoraproject.org/wiki/Licensing | | Please ask the Fedora Legal about this. \-- I have asked Fedora Legal for feedback. In this regard, if we were to package VHDL and Verilog cores under an open hardware license, I would like to know as to how we should package them into FEL? For example, if we package opencores.org cores, do we have a naming convention like opencores.org-, and put them under /usr/share/opencores.org/, or just /usr/share/? Or, do we need to come up packaging guidelines for hardware designs that people can use with FEL tools? Appreciate your inputs in this regard, SK -- Shakthi Kannan http://www.shakthimaan.com From chitlesh at gmail.com Sat Dec 12 13:44:08 2009 From: chitlesh at gmail.com (Chitlesh GOORAH) Date: Sat, 12 Dec 2009 14:44:08 +0100 Subject: [Fedora-electronic-lab] Fwd: Outage Notification - 2009-12-12 11:00 UTC In-Reply-To: References: Message-ID: <13dbfe4f0912120544n1faa6f5cj7f48d156c47a78ec@mail.gmail.com> ---------- Forwarded message ---------- From: Mike McGrath <> Date: Sat, Dec 12, 2009 at 5:18 AM Subject: Outage Notification - 2009-12-12 11:00 UTC There will be an outage starting at 2009-12-12 11:00 UTC, which will last approximately 48 hours. To convert UTC to your local time, take a look at http://fedoraproject.org/wiki/Infrastructure/UTCHowto or run: date -d '2009-12-12 11:00 UTC' Affected Services: Buildsystem CVS / Source Control Translation Services Websites Unaffected Services: Database DNS Fedora Hosted Fedora People Fedora Talk Mail Mirror System Torrent Ticket Link: https://fedorahosted.org/fedora-infrastructure/ticket/1845 Reason for Outage: This is the official outage notification that was mentioned days ago. ?The ticket link above will have the most up to date information and we will be coordinating the outage in #fedora-admin on irc.freenode.net. ?At the time specified above we will be powering down hosts, moving them on to a truck, unloading them re-racking and re-cabling and powering on. Contact Information: Please join #fedora-admin in irc.freenode.net or respond to this email to track the status of this outage. From chitlesh at fedoraproject.org Sat Dec 12 14:18:23 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Sat, 12 Dec 2009 15:18:23 +0100 Subject: [Fedora-electronic-lab] TAPR Open Hardware License In-Reply-To: References: <13dbfe4f0912102325i6eeefeb5rce51274ec5dc02@mail.gmail.com> Message-ID: <13dbfe4f0912120618m1bb38c6ay7f68adf5b9733f47@mail.gmail.com> On Sat, Dec 12, 2009 at 7:14 AM, Shakthi Kannan < > wrote: > I have asked Fedora Legal for feedback. In this regard, if we were to > package VHDL and Verilog cores under an open hardware license, I would > like to know as to how we should package them into FEL? > > For example, if we package opencores.org cores, do we have a naming > convention like opencores.org-, and put them under > /usr/share/opencores.org/, or just > /usr/share/? > > Or, do we need to come up packaging guidelines for hardware designs > that people can use with FEL tools? > > Appreciate your inputs in this regard, Yes indeed this deserves some thinking. Unlike other software and even fonts, these cores have no significant meaning to the users (from a software engineer point of view). They will fall in the same situation as OVM packaging, that is they will be refused during packaging reviews if they can't at least be used _out of the box_ with software distributed by fedora. FYI: OVM was refused because there was no opensource tool to use it. * From a fedora software packaging point of view We have to ensure that these cores can either be compiled with iverilog or ghdl. A script or Makefile should be shipped with the rpm. All proprietary scripts should be in docdir of a subpackage -extra. The advantage of packaging these cores is that once we got one core fully packaged, others will have the same template and it will be very smooth. * From an opensource hardware point of view I agree with your proposed naming convention:opencores.org- I would opt of /usr/share/opencores.org/ as it pays respect to the work opencores.org has done in creating set of guidelines for HDL development, directory structure and community building. We should only compile those cores which are tagged as done/complete. Those cores without documentation are pretty useless for the user. So I would say we should prioritize those having documentation. Prepare a spec file and a SRPM for one of the cores hence we can identify the elements we should pay attention. Last year, I tried to compile a draft template for opencores IP distribution based on the designer's needs. But never got time to complete it http://chitlesh.fedorapeople.org/temp/ I should spend some time to learn how companies are selling their IPs as a distribution point of view and improve that template. Chitlesh From shakthimaan at gmail.com Sat Dec 12 16:57:53 2009 From: shakthimaan at gmail.com (Shakthi Kannan) Date: Sat, 12 Dec 2009 22:27:53 +0530 Subject: [Fedora-electronic-lab] TAPR Open Hardware License In-Reply-To: <13dbfe4f0912120618m1bb38c6ay7f68adf5b9733f47@mail.gmail.com> References: <13dbfe4f0912102325i6eeefeb5rce51274ec5dc02@mail.gmail.com> <13dbfe4f0912120618m1bb38c6ay7f68adf5b9733f47@mail.gmail.com> Message-ID: Hi, --- On Sat, Dec 12, 2009 at 7:48 PM, Chitlesh GOORAH wrote: | * From a fedora software packaging point of view | | We have to ensure that these cores can either be compiled with | iverilog or ghdl. A script or Makefile should be shipped with the rpm. \-- Yes, we will ship only those that work with FEL tools. --- | All proprietary scripts should be in docdir of a subpackage -extra. \-- We shouldn't ship proprietary scripts that don't have the sources or are not under a free/open software/hardware license. --- | The advantage of packaging these cores is that once we got one core | fully packaged, others will have the same template and it will be very | smooth. \-- Agreed. --- | We should only compile those cores which are tagged as done/complete. | Those cores without documentation are pretty useless for the user. So | I would say we should prioritize those having documentation. \-- Ok. Could you point me to where I can find documentation on the workflows provided in FEL? Thanks, SK -- Shakthi Kannan http://www.shakthimaan.com From chitlesh at fedoraproject.org Sat Dec 12 22:29:08 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Sat, 12 Dec 2009 23:29:08 +0100 Subject: [Fedora-electronic-lab] vhdl standard 2008 Message-ID: <13dbfe4f0912121429q72d082cer60e5b97f9b06b91f@mail.gmail.com> Hello there, GHDL developers seem to claim standard 2008. However our GHDL package doesn't seem to be. We have a bug report tracking this feature. I would like to request if someone, who is already using std 2008 or even experienced with it, is willing to create some simple testbenches so that we can test then against ghdl development snapshot. I'm hoping that before F-13 release we can create a list of those features supported. https://bugzilla.redhat.com/show_bug.cgi?id=542338 thanks chitlesh From chitlesh at fedoraproject.org Sat Dec 12 22:35:45 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Sat, 12 Dec 2009 23:35:45 +0100 Subject: [Fedora-electronic-lab] TAPR Open Hardware License In-Reply-To: References: <13dbfe4f0912102325i6eeefeb5rce51274ec5dc02@mail.gmail.com> <13dbfe4f0912120618m1bb38c6ay7f68adf5b9733f47@mail.gmail.com> Message-ID: <13dbfe4f0912121435n29a4faa0q421fcb57ebb56ff@mail.gmail.com> On Sat, Dec 12, 2009 at 5:57 PM, Shakthi Kannan <> wrote: > Ok. Could you point me to where I can find documentation on the > workflows provided in FEL? We don't have an official flow but I'm blogged parts of it. * iverilog, emacs, dinotrace (on my blog) * spice released - on fel hosted page * xcircuit, ngspice (on my blog) * alliance - in the its -doc package * a basic mixed signal flow with gdsII on ngspice, magic, xcircuit, pharosc,... on the presentation for fosdem 2009 * LVS: magic, xcircuit, netgen ( on my blog and a NOR2 example on git) These should be added in the latex document we discussed earlier. It would be much easier to access, don't you think ? Chitlesh -- Chitlesh GOORAH Fedora Electronic Lab http://spins.fedoraproject.org/fel From trac at fedorahosted.org Sat Dec 12 22:43:50 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Sat, 12 Dec 2009 22:43:50 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #81: ocp error: OCP support cells that are not 50 lambda tall In-Reply-To: <066.f84319952b2190ad5b0ce915d2ec8b24@fedorahosted.org> References: <066.f84319952b2190ad5b0ce915d2ec8b24@fedorahosted.org> Message-ID: <075.d2c5c9ec1e6b44204689d390c3752fec@fedorahosted.org> #81: ocp error: OCP support cells that are not 50 lambda tall -----------------------+---------------------------------------------------- Reporter: chitlesh | Owner: chitlesh Type: defect | Status: new Priority: minor | Milestone: Fedora 14 Component: ASIC | Version: devel Resolution: | Keywords: alliance -----------------------+---------------------------------------------------- Changes (by chitlesh): * priority: major => minor * milestone: Fedora 13 => Fedora 14 Comment: Input from a user : I'm trying the multi4 example with wsclib013 library. When I run ocp after loon optimization, I get this error.. Attached is the vst produced from loon. #!/bin/bash export TARGET_LIB_6=../../cells/wsclib013_6 export TARGET_LIB=../../cells/wsclib013 export TARGET_NETLIST=../../cells/vsclib_netlist export RDS_TECHNO_200=../../etc/vsc200.rds export MBK_SPI_MODEL=../../etc/spimodel.cfg export DREAL_TECHNO_NAME=../../etc/s200.dreal export GRAAL_TECHNO_NAME=../../etc/s.graal export RDS_TECHNO_NAME=$RDS_TECHNO_200 export MBK_TARGET_LIB=$TARGET_LIB export MBK_WORK_LIB=. export MBK_IN_LO=vst export MBK_OUT_LO=vst export MBK_CATA_LIB=.:$MBK_TARGET_LIB:$TARGET_NETLIST export CATAL_NAME=WSCLIB013 cp $MBK_TARGET_LIB/$CATAL_NAME . export MBK_CATAL_NAME=$CATAL_NAME ../../bin/ocp -ring multiplier4 mymultiplier -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From shakthimaan at gmail.com Sun Dec 13 17:10:57 2009 From: shakthimaan at gmail.com (Shakthi Kannan) Date: Sun, 13 Dec 2009 22:40:57 +0530 Subject: [Fedora-electronic-lab] TAPR Open Hardware License In-Reply-To: <13dbfe4f0912121435n29a4faa0q421fcb57ebb56ff@mail.gmail.com> References: <13dbfe4f0912102325i6eeefeb5rce51274ec5dc02@mail.gmail.com> <13dbfe4f0912120618m1bb38c6ay7f68adf5b9733f47@mail.gmail.com> <13dbfe4f0912121435n29a4faa0q421fcb57ebb56ff@mail.gmail.com> Message-ID: Hi, --- On Sun, Dec 13, 2009 at 4:05 AM, Chitlesh GOORAH wrote: | These should be added in the latex document we discussed earlier. It | would be much easier to access, don't you think ? \-- We can create a git project repo for the above documentation workflows in https://fedorahosted.org? I shall then make a project request to them. The documentation and code will be in CC-license? Let me know, SK -- Shakthi Kannan http://www.shakthimaan.com From chitlesh at fedoraproject.org Sun Dec 13 17:57:17 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Sun, 13 Dec 2009 18:57:17 +0100 Subject: [Fedora-electronic-lab] TAPR Open Hardware License In-Reply-To: References: <13dbfe4f0912102325i6eeefeb5rce51274ec5dc02@mail.gmail.com> <13dbfe4f0912120618m1bb38c6ay7f68adf5b9733f47@mail.gmail.com> <13dbfe4f0912121435n29a4faa0q421fcb57ebb56ff@mail.gmail.com> Message-ID: <13dbfe4f0912130957n2eed829aua1d4958bdd29cf91@mail.gmail.com> On Sun, Dec 13, 2009 at 6:10 PM, Shakthi Kannan <> wrote: > We can create a git project repo for the above documentation workflows > in https://fedorahosted.org? I shall then make a project request to > them. > > The documentation and code will be in CC-license? Yes the documentation should be the CC license fedora just adopted. Since you are a git expert, can you set up the repo in such a way that FEL12 branch reflects FEL12 items such as release notes and flyer etc.. same for a FEL13 branch. Currently that git repo is just a dumping area. With your super git skills, can you propose the best way we can use that repo effectively based on its current contents? Now since we are focussing on FEL-13, the documentation will be placed on the branch master, then we'll branch it to FEL13 during the Freeze period. Chitlesh -- Chitlesh GOORAH Fedora Electronic Lab http://spins.fedoraproject.org/fel From shakthimaan at gmail.com Mon Dec 14 11:35:59 2009 From: shakthimaan at gmail.com (Shakthi Kannan) Date: Mon, 14 Dec 2009 17:05:59 +0530 Subject: [Fedora-electronic-lab] TAPR Open Hardware License In-Reply-To: <13dbfe4f0912130957n2eed829aua1d4958bdd29cf91@mail.gmail.com> References: <13dbfe4f0912102325i6eeefeb5rce51274ec5dc02@mail.gmail.com> <13dbfe4f0912120618m1bb38c6ay7f68adf5b9733f47@mail.gmail.com> <13dbfe4f0912121435n29a4faa0q421fcb57ebb56ff@mail.gmail.com> <13dbfe4f0912130957n2eed829aua1d4958bdd29cf91@mail.gmail.com> Message-ID: Hi, --- On Sun, Dec 13, 2009 at 11:27 PM, Chitlesh GOORAH wrote: | Since you are a git expert, \-- This hasn't happenend yet, actually. What is the name of this documentation project that you would prefer, 'fel-workflows', or any other suggestions? SK -- Shakthi Kannan http://www.shakthimaan.com From chitlesh at fedoraproject.org Mon Dec 14 11:41:15 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Mon, 14 Dec 2009 12:41:15 +0100 Subject: [Fedora-electronic-lab] TAPR Open Hardware License In-Reply-To: References: <13dbfe4f0912102325i6eeefeb5rce51274ec5dc02@mail.gmail.com> <13dbfe4f0912120618m1bb38c6ay7f68adf5b9733f47@mail.gmail.com> <13dbfe4f0912121435n29a4faa0q421fcb57ebb56ff@mail.gmail.com> <13dbfe4f0912130957n2eed829aua1d4958bdd29cf91@mail.gmail.com> Message-ID: <13dbfe4f0912140341i71783e3bj1caab32c21391d7@mail.gmail.com> On Mon, Dec 14, 2009 at 12:35 PM, Shakthi Kannan < > wrote: > This hasn't happenend yet, actually. > > What is the name of this documentation project that you would prefer, > 'fel-workflows', or any other suggestions? fel-userguide- ? From shakthimaan at gmail.com Mon Dec 14 11:57:55 2009 From: shakthimaan at gmail.com (Shakthi Kannan) Date: Mon, 14 Dec 2009 17:27:55 +0530 Subject: [Fedora-electronic-lab] TAPR Open Hardware License In-Reply-To: <13dbfe4f0912140341i71783e3bj1caab32c21391d7@mail.gmail.com> References: <13dbfe4f0912102325i6eeefeb5rce51274ec5dc02@mail.gmail.com> <13dbfe4f0912120618m1bb38c6ay7f68adf5b9733f47@mail.gmail.com> <13dbfe4f0912121435n29a4faa0q421fcb57ebb56ff@mail.gmail.com> <13dbfe4f0912130957n2eed829aua1d4958bdd29cf91@mail.gmail.com> <13dbfe4f0912140341i71783e3bj1caab32c21391d7@mail.gmail.com> Message-ID: Hi, --- On Mon, Dec 14, 2009 at 5:11 PM, Chitlesh GOORAH wrote: | fel-userguide- ? \-- Ok. Project name will "fel-userguide". I shall create a ticket to Fedora Infrastructure. Thanks, SK -- Shakthi Kannan http://www.shakthimaan.com From chitlesh at fedoraproject.org Mon Dec 14 12:04:19 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Mon, 14 Dec 2009 13:04:19 +0100 Subject: [Fedora-electronic-lab] TAPR Open Hardware License In-Reply-To: References: <13dbfe4f0912120618m1bb38c6ay7f68adf5b9733f47@mail.gmail.com> <13dbfe4f0912121435n29a4faa0q421fcb57ebb56ff@mail.gmail.com> <13dbfe4f0912130957n2eed829aua1d4958bdd29cf91@mail.gmail.com> <13dbfe4f0912140341i71783e3bj1caab32c21391d7@mail.gmail.com> Message-ID: <13dbfe4f0912140404v6890d808j46ea59ea9ff11cd8@mail.gmail.com> On Mon, Dec 14, 2009 at 12:57 PM, Shakthi Kannan wrote: > Hi, > > --- On Mon, Dec 14, 2009 at 5:11 PM, Chitlesh GOORAH > wrote: > | fel-userguide- ? > \-- > > Ok. Project name will "fel-userguide". I shall create a ticket to > Fedora Infrastructure. ok wait, do you need another git repo ? why can't we use the current repo ? I fear that the more we poke the infrastructure the more the budget will increase. Chitlesh From shakthimaan at gmail.com Mon Dec 14 12:36:57 2009 From: shakthimaan at gmail.com (Shakthi Kannan) Date: Mon, 14 Dec 2009 18:06:57 +0530 Subject: [Fedora-electronic-lab] TAPR Open Hardware License In-Reply-To: <13dbfe4f0912140404v6890d808j46ea59ea9ff11cd8@mail.gmail.com> References: <13dbfe4f0912120618m1bb38c6ay7f68adf5b9733f47@mail.gmail.com> <13dbfe4f0912121435n29a4faa0q421fcb57ebb56ff@mail.gmail.com> <13dbfe4f0912130957n2eed829aua1d4958bdd29cf91@mail.gmail.com> <13dbfe4f0912140341i71783e3bj1caab32c21391d7@mail.gmail.com> <13dbfe4f0912140404v6890d808j46ea59ea9ff11cd8@mail.gmail.com> Message-ID: Hi, --- On Mon, Dec 14, 2009 at 5:34 PM, Chitlesh GOORAH wrote: | ok wait, do you need another git repo ? why can't we use the current repo ? \-- Sorry, but, where is it? SK -- Shakthi Kannan http://www.shakthimaan.com From chitlesh at fedoraproject.org Mon Dec 14 12:39:21 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Mon, 14 Dec 2009 13:39:21 +0100 Subject: [Fedora-electronic-lab] TAPR Open Hardware License In-Reply-To: References: <13dbfe4f0912121435n29a4faa0q421fcb57ebb56ff@mail.gmail.com> <13dbfe4f0912130957n2eed829aua1d4958bdd29cf91@mail.gmail.com> <13dbfe4f0912140341i71783e3bj1caab32c21391d7@mail.gmail.com> <13dbfe4f0912140404v6890d808j46ea59ea9ff11cd8@mail.gmail.com> Message-ID: <13dbfe4f0912140439j7a034d86xfda7ac8fb94e3daf@mail.gmail.com> On Mon, Dec 14, 2009 at 1:36 PM, Shakthi Kannan < > wrote: > Sorry, but, where is it? https://fedorahosted.org/fedora-electronic-lab/wiki/developers#UsingFELgitrepository Chitlesh From trac at fedorahosted.org Mon Dec 14 16:16:20 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Mon, 14 Dec 2009 16:16:20 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #51: chalmers-lava2000 In-Reply-To: <069.011d20e256f8515a8c8833c7e0b876a8@fedorahosted.org> References: <069.011d20e256f8515a8c8833c7e0b876a8@fedorahosted.org> Message-ID: <078.58596f0bce668c6614e3893152804552@fedorahosted.org> #51: chalmers-lava2000 --------------------------+------------------------------------------------- Reporter: shakthimaan | Owner: shakthimaan* Type: defect | Status: new Priority: major | Milestone: Fedora 13 Component: FEL | Version: devel Resolution: | Keywords: --------------------------+------------------------------------------------- Changes (by shakthimaan): * owner: shakthimaan => shakthimaan* * status: assigned => new Comment: Please hold the review for this package. I have pushed changes that upstream is working on, and will then release a new package. After that, I shall release a new RPM for review. -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From chitlesh.goorah at gmail.com Tue Dec 15 00:19:19 2009 From: chitlesh.goorah at gmail.com (Chitlesh GOORAH) Date: Tue, 15 Dec 2009 01:19:19 +0100 Subject: [Fedora-electronic-lab] Request for testing : Icarus Verilog v0.9.2 pre-release Message-ID: <50baabb30912141619h7ad4b79ei1cf41df7b2e648d4@mail.gmail.com> Hello Verilog users, A test snapshot of the next v0.9.2 iverilog release was pushed to updates-testing repository. It is upstream's last test snapshot before the v0.9.2 release. We would like you to test it against * your large structural designs (upstream's wish) * your FPGA specific designs - post synthesis [1] and post place and route The test plan is detailed here along with some known bugs https://fedorahosted.org/fedora-electronic-lab/wiki/Testing/iverilog This release is a huge enhancement over the one in Fedora stable repositories. It is more compliant too with IEEE Standard 1364-2005 [2]. Runtime has also been improved. We would appreciate if you can give some feedbacks such as : * estimated gate count * estimated time of execution * architecture: i686 or x86_84 * rpm -q iverilog Kind regards, Chitlesh [1] : http://chitlesh.wordpress.com/2009/05/18/xilinx-icarus-verilog-post-synthesis-simulation/ [2] : https://fedorahosted.org/fedora-electronic-lab/wiki/Digital/iverilog -- Chitlesh GOORAH Fedora Electronic Lab http://spins.fedoraproject.org/fel From shakthimaan at gmail.com Tue Dec 15 17:40:36 2009 From: shakthimaan at gmail.com (Shakthi Kannan) Date: Tue, 15 Dec 2009 23:10:36 +0530 Subject: [Fedora-electronic-lab] FEL website clarification In-Reply-To: <13dbfe4f0912011149m31b350a0gb5c37b5b99609bdc@mail.gmail.com> References: <13dbfe4f0912010353w448ba68byeebaffe6050a8ace@mail.gmail.com> <13dbfe4f0912011130s393c9090re7d4f8979f16734c@mail.gmail.com> <13dbfe4f0912011149m31b350a0gb5c37b5b99609bdc@mail.gmail.com> Message-ID: Hi, I have modified CSS from: http://fedoraproject.org/static/css/fedora.css so it could be used for FEL Trac. The major change is the size of the text font. If you would like to try it, please do the following: 1. Install dependencies: # yum install trac mod_python 2. Get the sample sources: $ wget http://shakthimaan.fedorapeople.org/SOURCES/trac-december-15-2009.tar.bz2 $ tar xjvf trac-december-15-2009.tar.bz2 This will extract to a trac/ folder. 3. Run the server: $ tracd --port 8000 /path/to/trac You can now check the browser at http://localhost:8000 to see how the UI looks. Please feel free to make any CSS changes. The main CSS file is in trac/htdocs/fedora.css. Or, if we want to give this task to the Fedora Design Team, we can do so too. Here is a screenshot of how it looks: http://shakthimaan.fedorapeople.org/pics/fel-trac-december-15-2009-screenshot.png SK -- Shakthi Kannan http://www.shakthimaan.com From noldsworth at googlemail.com Tue Dec 15 17:44:09 2009 From: noldsworth at googlemail.com (Nigel noldsworth) Date: Tue, 15 Dec 2009 18:44:09 +0100 Subject: [Fedora-electronic-lab] thank you for this platform Message-ID: Hello, Thank you for this robust platform for digital design. I'm a digital designer. I was using iverilog and gtkwave on ubuntu. However last year, I had a lot of issues when doing maths with verilog. Then I tried Fedora to see if if suffers these issues, but haven't encountered any. I was impressed and sticked with Fedora ever since. With the recent gplcver and vrq, it makes verilog design exciting. In the meantime, I'm learning vhdl on my own and am unable to get the name of the current state of the state machine on gtkwave. Is there a solution for that so that I don't have to declare a special non-synthesized std_logic_vector. Nigel From shakthimaan at gmail.com Tue Dec 15 18:09:16 2009 From: shakthimaan at gmail.com (Shakthi Kannan) Date: Tue, 15 Dec 2009 23:39:16 +0530 Subject: [Fedora-electronic-lab] thank you for this platform In-Reply-To: References: Message-ID: Nigel, --- On Tue, Dec 15, 2009 at 11:14 PM, Nigel noldsworth wrote: | Thank you for this robust platform for digital design. I'm a digital | designer. I was using iverilog and gtkwave on ubuntu. However last | year, I had a lot of issues when doing maths with verilog. Then I | tried Fedora to see if if suffers these issues, but haven't | encountered any. I was impressed and sticked with Fedora ever since. | With the recent gplcver and vrq, it makes verilog design exciting. \-- Thanks for your feedback! --- | In the meantime, I'm learning vhdl on my own and am unable to get the | name of the current state of the state machine on gtkwave. Is there a | solution for that so that I don't have to declare a special | non-synthesized std_logic_vector. \-- Does this help? https://mail.gna.org/public/ghdl-discuss/2009-05/msg00003.html If you have any issues or problems with the software and tools, please file a bug report at: https://bugzilla.redhat.com/ You can add Chitlesh or my name, e-mail address in the CC list in the bug report. As you might be aware, we are in the process of documenting recommended workflows with our tools. In this regard, if you could write to us on your use of the tools and workflow, it will be very helpful for everyone. We will prepare the documentation in LaTeX, and generate PDF for users, developers. Thanks again, SK -- Shakthi Kannan http://www.shakthimaan.com From chitlesh at fedoraproject.org Tue Dec 15 22:49:59 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Tue, 15 Dec 2009 23:49:59 +0100 Subject: [Fedora-electronic-lab] FEL website clarification In-Reply-To: References: <13dbfe4f0912010353w448ba68byeebaffe6050a8ace@mail.gmail.com> <13dbfe4f0912011130s393c9090re7d4f8979f16734c@mail.gmail.com> <13dbfe4f0912011149m31b350a0gb5c37b5b99609bdc@mail.gmail.com> Message-ID: <13dbfe4f0912151449r2eaab136s99dd81300be9a71@mail.gmail.com> On Tue, Dec 15, 2009 at 6:40 PM, Shakthi Kannan <> wrote: > You can now check the browser at http://localhost:8000 to see how the > UI looks. Please feel free to make any CSS changes. The main CSS file > is in trac/htdocs/fedora.css. Or, if we want to give this task to the > Fedora Design Team, we can do so too. > > Here is a screenshot of how it looks: > http://shakthimaan.fedorapeople.org/pics/fel-trac-december-15-2009-screenshot.png Aah that's way better than the reddish trac. Great work. For a long time, I wanted to try themeengine plugin for trac, but never got the time to set up trac. http://chitlesh.fedorapeople.org/RPMS/trac-themeengine-plugin-2.0-1.svn7147.fc12.src.rpm I was told that the blue-ish colour can be set with it from the admin interface with the mouse. Can you try it please ? As for the FEL's website and the trac are the Fedora Website team responsibility. But the design team contributors are also subscribed to the websites mailing list. Chitlesh -- Chitlesh GOORAH Fedora Electronic Lab http://spins.fedoraproject.org/fel From chitlesh at fedoraproject.org Tue Dec 15 22:59:09 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Tue, 15 Dec 2009 23:59:09 +0100 Subject: [Fedora-electronic-lab] thank you for this platform In-Reply-To: References: Message-ID: <13dbfe4f0912151459r45cb607bu1570066710542354@mail.gmail.com> On Tue, Dec 15, 2009 at 6:44 PM, Nigel noldsworth <> wrote: > Hello, > > Thank you for this robust platform for digital design. I'm a digital > designer. I was using iverilog and gtkwave on ubuntu. However last > year, I had a lot of issues when doing maths with verilog. Then I > tried Fedora to see if if suffers these issues, but haven't > encountered any. I was impressed and sticked with Fedora ever since. > With the recent gplcver and vrq, it makes verilog design exciting. > Hello Nigel, Thank you for your feedback. We appreciate it. There was recently a thread in the iverilog mailing list about this maths issue with Ubuntu's iverilog. This issue is still present on Ubuntu with the next iverilog release. The test we have conducted against iverilog on Fedora does not reflect this maths issue. > In the meantime, I'm learning vhdl on my own and am unable to get the > name of the current state of the state machine on gtkwave. Is there a > solution for that so that I don't have to declare a special > non-synthesized std_logic_vector. > As Shakthi pointed out, extract the waveforms with --wave=design.ghw instead of VCD. regards, Chitlesh -- Chitlesh GOORAH Fedora Electronic Lab http://spins.fedoraproject.org/fel From chitlesh at fedoraproject.org Tue Dec 15 23:29:26 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Wed, 16 Dec 2009 00:29:26 +0100 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #73: GTK GUI for Digital Gate Compiler In-Reply-To: <20091125210538.GA11594@jurassic> References: <069.6a73f8b9fafd590fc1d2fa4a537bee99@fedorahosted.org> <13dbfe4f0911251236l38d5b1f8uc9ae700164d152af@mail.gmail.com> <20091125210538.GA11594@jurassic> Message-ID: <13dbfe4f0912151529j3b333ac9l6808ad4ce4451165@mail.gmail.com> On Wed, Nov 25, 2009 at 10:05 PM, Mukund Sivaraman <> wrote: > DGC seems to be similar to SIS and Alliance's BOOG tool. ?I'll take a > look at its sources in more detail. For Herb, we'd be using a simple > mapper to begin with. Hello Mukund, Since herb is trying to be a better Alliance, I wish to propose the new features * support for Liberty file format http://www.opensourceliberty.org/ * support for Synopsys Design Constraint format * improved reports : report_timing, report_cells, report_area These will create easy path for new users and interoperability. Also it will help to maintain new standard cells libraries. Xcircuit(+magic) and ngspice/gnucap are being enhanced in that direction. It would be nice to have users' characterized cells being used in herb. thank you, chitlesh -- Chitlesh GOORAH Fedora Electronic Lab http://spins.fedoraproject.org/fel From shakthimaan at gmail.com Wed Dec 16 10:50:18 2009 From: shakthimaan at gmail.com (Shakthi Kannan) Date: Wed, 16 Dec 2009 16:20:18 +0530 Subject: [Fedora-electronic-lab] FEL website clarification In-Reply-To: <13dbfe4f0912151449r2eaab136s99dd81300be9a71@mail.gmail.com> References: <13dbfe4f0912010353w448ba68byeebaffe6050a8ace@mail.gmail.com> <13dbfe4f0912011130s393c9090re7d4f8979f16734c@mail.gmail.com> <13dbfe4f0912011149m31b350a0gb5c37b5b99609bdc@mail.gmail.com> <13dbfe4f0912151449r2eaab136s99dd81300be9a71@mail.gmail.com> Message-ID: Hi, --- On Wed, Dec 16, 2009 at 4:19 AM, Chitlesh GOORAH wrote: | As for the FEL's website and the trac are the Fedora Website team | responsibility. But the design team contributors are also subscribed | to the websites mailing list. \-- Should I go ahead, and make a request to the Fedora Website team to make changes to the CSS of FEL trac website? SK -- Shakthi Kannan http://www.shakthimaan.com From chitlesh at fedoraproject.org Wed Dec 16 10:54:02 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Wed, 16 Dec 2009 11:54:02 +0100 Subject: [Fedora-electronic-lab] FEL website clarification In-Reply-To: References: <13dbfe4f0912010353w448ba68byeebaffe6050a8ace@mail.gmail.com> <13dbfe4f0912011130s393c9090re7d4f8979f16734c@mail.gmail.com> <13dbfe4f0912011149m31b350a0gb5c37b5b99609bdc@mail.gmail.com> <13dbfe4f0912151449r2eaab136s99dd81300be9a71@mail.gmail.com> Message-ID: <13dbfe4f0912160254i4ea6561m4c2487999ec67644@mail.gmail.com> On Wed, Dec 16, 2009 at 11:50 AM, Shakthi Kannan <> wrote: > > Should I go ahead, and make a request to the Fedora Website team to > make changes to the CSS of FEL trac website? Please go ahead. Himanshu and Ricky on #fedora-websites are the key persons. Had you tried the theme-engine already ? Chitlesh -- Chitlesh GOORAH Fedora Electronic Lab http://spins.fedoraproject.org/fel From trac at fedorahosted.org Wed Dec 16 11:36:53 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Wed, 16 Dec 2009 11:36:53 -0000 Subject: [Fedora-electronic-lab] Re: [Fedora Electronic Lab] #77: Check the following features on xcircuit with upstream In-Reply-To: <066.abb219a68167527bbd335a602129946c@fedorahosted.org> References: <066.abb219a68167527bbd335a602129946c@fedorahosted.org> Message-ID: <075.02d992c5c5490ae405f805d9f78f0459@fedorahosted.org> #77: Check the following features on xcircuit with upstream ----------------------------+----------------------------------------------- Reporter: chitlesh | Owner: chitlesh Type: defect | Status: reopened Priority: major | Milestone: Upstream Component: analog design | Version: devel Resolution: | Keywords: xcircuit, edif ----------------------------+----------------------------------------------- Comment (by chitlesh): == BUG !#008 == Missing nets or broken symbols. http://www.mail-archive.com/xcircuit- dev at opencircuitdesign.com/msg00153.html -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From shakthimaan at gmail.com Wed Dec 16 11:49:08 2009 From: shakthimaan at gmail.com (Shakthi Kannan) Date: Wed, 16 Dec 2009 17:19:08 +0530 Subject: [Fedora-electronic-lab] FEL website clarification In-Reply-To: <13dbfe4f0912160254i4ea6561m4c2487999ec67644@mail.gmail.com> References: <13dbfe4f0912010353w448ba68byeebaffe6050a8ace@mail.gmail.com> <13dbfe4f0912011130s393c9090re7d4f8979f16734c@mail.gmail.com> <13dbfe4f0912011149m31b350a0gb5c37b5b99609bdc@mail.gmail.com> <13dbfe4f0912151449r2eaab136s99dd81300be9a71@mail.gmail.com> <13dbfe4f0912160254i4ea6561m4c2487999ec67644@mail.gmail.com> Message-ID: Hi, --- On Wed, Dec 16, 2009 at 4:24 PM, Chitlesh GOORAH wrote: | Please go ahead. Himanshu and Ricky on #fedora-websites are the key persons. \-- Ok. You can follow the ticket from here: https://fedorahosted.org/fedora-websites/ticket/8 --- | Had you tried the theme-engine already ? \-- Not yet. Let Fedora Website team take lead here, while we can give them suggestions/feedback. SK -- Shakthi Kannan http://www.shakthimaan.com From trac at fedorahosted.org Wed Dec 16 17:46:29 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Wed, 16 Dec 2009 17:46:29 -0000 Subject: [fedora-electronic-lab] [Fedora Electronic Lab] #89: Package NuSMV Message-ID: <069.8ab7978caf26da3db97a3b69cef84512@fedorahosted.org> #89: Package NuSMV ---------------------------------------------------+------------------------ Reporter: shakthimaan | Owner: chitlesh Type: task | Status: new Priority: major | Milestone: Fedora 13 Component: FEL | Version: devel Keywords: model checker, verification, hardware | ---------------------------------------------------+------------------------ NuSMV is a Symbolic Model Checker released under LGPL. http://nusmv.fbk.eu/index.html This is useful for formal verification of hardware. One needs to use the cudd package (BSD) shipped with NuSMV instead of the one shipped on Fedora because it has many changes. -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Wed Dec 16 18:22:06 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Wed, 16 Dec 2009 18:22:06 -0000 Subject: [fedora-electronic-lab] Re: [Fedora Electronic Lab] #51: chalmers-lava2000 In-Reply-To: <069.011d20e256f8515a8c8833c7e0b876a8@fedorahosted.org> References: <069.011d20e256f8515a8c8833c7e0b876a8@fedorahosted.org> Message-ID: <078.60f5c4654a48add6a851043b245b7f5f@fedorahosted.org> #51: chalmers-lava2000 --------------------------+------------------------------------------------- Reporter: shakthimaan | Owner: shakthimaan Type: defect | Status: assigned Priority: major | Milestone: Fedora 13 Component: FEL | Version: devel Resolution: | Keywords: --------------------------+------------------------------------------------- Changes (by shakthimaan): * owner: shakthimaan* => shakthimaan * status: new => assigned Comment: Upstream has made the changes and released a new package. But, few clarifications required: 1. Upstream uses Scripts/smv.wrapper script which is a 'freeware' Cadence tool which we cannot ship. If we package NuSMV (ticket #89), can we safely remove the present smv.wrapper scripts shipped with the upstream package? Instead of the Scripts/satzoo.wrapper, we could look into using minisat2 compatibility usage. Verification is optional, so if we package NuSMV, should we add this as 'Requires' in this chalmers-lava2000 package? or should we ignore the verification part and go ahead with just packaging chalmers-lava2000 package, but, document verification in our FEL user guide? 2. There is a Vhdl/lava.vhd file included in the upstream package that has definitions of entities, which are produced in the .vhdl file generated from chalmers-lava2000 when using: === First.hs === import Lava halfAdd (a, b) = (sum, carry) where sum = xor2 (a, b) carry = and2 (a, b) === END === $ ghci Prelude> :l First.hs *Main> import Lava *Main Lava> writeVhdl "halfAdd" halfAdd How to include this definition file, lava.vhd, in the generated halfAdd.vhd source file so it can be compiled successfully with ghdl (for example)? 3. Where should we place this lava.vhd definitions file so that any VHDL project across the system can include it? -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From chitlesh.goorah at gmail.com Thu Dec 17 14:54:39 2009 From: chitlesh.goorah at gmail.com (Chitlesh GOORAH) Date: Thu, 17 Dec 2009 15:54:39 +0100 Subject: [fedora-electronic-lab] Offline for one month or more Message-ID: <50baabb30912170654r2fbb4d71oad0f3c1f7191485c@mail.gmail.com> Hello there, I'm currently relocating to another country and will be fedora-offline for a while, probably one month (probably be on and off). If my packages require immediate actions for any reason, please do the necessary in my place. https://admin.fedoraproject.org/pkgdb/users/packages/chitlesh As far as the FEL spin is concerned, it is still scheduled for F-13 and recent work done by Shakthi Kannan and Arun Sag made microelectronics design even more exciting. If you have any question, please email us on FEL's mailing list. Shakthi Kannan and others would be pleased to answer you. I wish you all Merry Christmas and New Year 2010 ;) Kind regards, Chitlesh Goorah From noldsworth at googlemail.com Fri Dec 18 22:53:02 2009 From: noldsworth at googlemail.com (Nigel noldsworth) Date: Fri, 18 Dec 2009 23:53:02 +0100 Subject: [fedora-electronic-lab] upcoming gtkwave 3.3.0 Message-ID: Hello, I just came across this group about GTKwave. http://groups.google.com/group/comp.lang.vhdl/browse_thread/thread/fe0a39910e7d682a# Since Chitlesh seems to like tcl/tk from his blog posts, are we going to see more gtkwave integration with alliance, instead of the default alliance's limited waveform viewer? From chitlesh at fedoraproject.org Sat Dec 19 18:37:06 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Sat, 19 Dec 2009 19:37:06 +0100 Subject: [fedora-electronic-lab] upcoming gtkwave 3.3.0 In-Reply-To: References: Message-ID: <13dbfe4f0912191037u367eb58cq1ac56bf6c5a52309@mail.gmail.com> On Fri, Dec 18, 2009 at 11:53 PM, Nigel noldsworth wrote: > Hello, > > I just came across this group about GTKwave. > > http://groups.google.com/group/comp.lang.vhdl/browse_thread/thread/fe0a39910e7d682a# > > Since Chitlesh seems to like tcl/tk from his blog posts, are we going > to see more gtkwave integration with alliance, instead of the default > alliance's limited waveform viewer? Hello Nigel, I'm excited to see more tcl scripting support on this upcoming GTKwave release. However, Alliance development stopped. There is an initiative by Mukund to fork alliance and called it "herb". https://www.banu.com/herb/ Maybe Mukund can comment on this. Indeed, GTKwave is very mature and using with alliance/herb would be great for both developers and users. Chitlesh -- Chitlesh GOORAH Fedora Electronic Lab http://spins.fedoraproject.org/fel From tomkonikkara at gmail.com Sun Dec 20 02:59:49 2009 From: tomkonikkara at gmail.com (Tom varghese) Date: Sun, 20 Dec 2009 10:59:49 +0800 Subject: [fedora-electronic-lab] From where I get FEL tutorials? Message-ID: <5124c8500912191859s2f3a5ab0u32570f2a385f5abf@mail.gmail.com> Hi there, >From where I get FEL tools tutorials? Especially for alliance tools? -- Knowledge is free. Free means open source... http://opentechlab.blogspot.com -------------- next part -------------- An HTML attachment was scrubbed... URL: From trac at fedorahosted.org Sun Dec 20 12:29:52 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Sun, 20 Dec 2009 12:29:52 -0000 Subject: [fedora-electronic-lab] [Fedora Electronic Lab] #51: chalmers-lava2000 In-Reply-To: <069.011d20e256f8515a8c8833c7e0b876a8@fedorahosted.org> References: <069.011d20e256f8515a8c8833c7e0b876a8@fedorahosted.org> Message-ID: <078.fea03c771a7cd59ce704b3c14a3aad7c@fedorahosted.org> #51: chalmers-lava2000 --------------------------+------------------------------------------------- Reporter: shakthimaan | Owner: shakthimaan Type: defect | Status: assigned Priority: major | Milestone: Fedora 13 Component: FEL | Version: devel Resolution: | Keywords: --------------------------+------------------------------------------------- Comment (by shakthimaan): Removed Scripts/ folder that includes wrapper scripts that requires, and invokes third-party non-free binaries. Removed verification modules that depend on third-party non-free binaries during build. Using ghdl on lava.vhd works! Please proceed with review. https://bugzilla.redhat.com/show_bug.cgi?id=546376 -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Sun Dec 20 12:37:04 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Sun, 20 Dec 2009 12:37:04 -0000 Subject: [fedora-electronic-lab] [Fedora Electronic Lab] #90: Kansas Lava Message-ID: <069.60169c9d9c9a378ae3d43e393bfdbd8e@fedorahosted.org> #90: Kansas Lava ---------------------------------------------------+------------------------ Reporter: shakthimaan | Owner: shakthimaan Type: task | Status: new Priority: major | Milestone: Fedora 13 Component: FEL | Version: devel Keywords: Hardware, verification, formal, logic | ---------------------------------------------------+------------------------ Package Kansas Lava: http://www.ittc.ku.edu/csdl/fpg/KansasLava This is expected to have better writeVhdl features, than Chalmers Lava 2000. Release expected last week of 2009. -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From chitlesh at fedoraproject.org Tue Dec 22 09:49:41 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Tue, 22 Dec 2009 10:49:41 +0100 Subject: [fedora-electronic-lab] From where I get FEL tutorials? In-Reply-To: <5124c8500912191859s2f3a5ab0u32570f2a385f5abf@mail.gmail.com> References: <5124c8500912191859s2f3a5ab0u32570f2a385f5abf@mail.gmail.com> Message-ID: <13dbfe4f0912220149t525f4c3xb2af0981edc654de@mail.gmail.com> On Sun, Dec 20, 2009 at 3:59 AM, Tom varghese wrote: > Hi there, > From where I get FEL tools tutorials? Especially for alliance tools? Hello, Alliance documentation, tutorial and example are available on "alliance-doc" package. Likewise some tools which have too many documentation have a separate -doc package. You can use rpm -qd TOOL to find all these materials, Chitlesh From trac at fedorahosted.org Tue Dec 22 09:56:31 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Tue, 22 Dec 2009 09:56:31 -0000 Subject: [fedora-electronic-lab] [Fedora Electronic Lab] #84: Package Poky platform builder In-Reply-To: <069.420febe534f60c8d5ffd0e4a61fde7d8@fedorahosted.org> References: <069.420febe534f60c8d5ffd0e4a61fde7d8@fedorahosted.org> Message-ID: <078.76561f24a604a2316c9e8c291641d1dd@fedorahosted.org> #84: Package Poky platform builder ------------------------------+--------------------------------------------- Reporter: shakthimaan | Owner: chitlesh Type: task | Status: closed Priority: minor | Milestone: Fedora 13 Component: embedded design | Version: devel Resolution: fixed | Keywords: Embedded, python, platform ------------------------------+--------------------------------------------- Changes (by shakthimaan): * status: new => closed * resolution: => fixed -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From avgeris89 at hotmail.com Wed Dec 23 14:56:05 2009 From: avgeris89 at hotmail.com (Avgerinos Bakalidis) Date: Wed, 23 Dec 2009 16:56:05 +0200 Subject: [fedora-electronic-lab] Help on boot Message-ID: Hey I have a macbook intel with MAC OSX 10.6, and i want to run a live cd with the Fedora electronic lab because i am studying electronic engineering and i believe these programs are really great!I have downloaded the Fedora-11-i686-Live-FEL.iso file and i have try many times to create a live usb from the disk utility program.Every time when i run the live usb on my mac there is a problem on boot. Actually there is a command line and i do not know how to run the fedora.I hope you can help me...Thanks _________________________________________________________________ Windows Live: Make it easier for your friends to see what you?re up to on Facebook. http://www.microsoft.com/middleeast/windows/windowslive/see-it-in-action/social-network-basics.aspx?ocid=PID23461::T:WLMTAGL:ON:WL:en-xm:SI_SB_2:092009 -------------- next part -------------- An HTML attachment was scrubbed... URL: From sherry151 at gmail.com Thu Dec 24 07:30:46 2009 From: sherry151 at gmail.com (Rangeen Basu) Date: Thu, 24 Dec 2009 13:00:46 +0530 Subject: [fedora-electronic-lab] Is there a verilog synthesis tool available under FEL umbrella Message-ID: Hi @subject Anything similar to alliance( VHDL) for Verilog? -- Regards Rangeen Basu Roy Chowdhury Fedora Ambassador sherry151 at gmail.com From chitlesh at fedoraproject.org Thu Dec 24 07:35:48 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Thu, 24 Dec 2009 08:35:48 +0100 Subject: [fedora-electronic-lab] Is there a verilog synthesis tool available under FEL umbrella In-Reply-To: References: Message-ID: <13dbfe4f0912232335o50ee7bf4jb93a9326d3c17159@mail.gmail.com> On Thu, Dec 24, 2009 at 8:30 AM, Rangeen Basu wrote: > Hi > > @subject > > Anything similar to alliance( VHDL) for Verilog? Hello, Actually not a real one. You can use "vasy" to translate verilog structural design to a vhdl one. Else you can use iverilog to translate the verilog structural design to a vhdl one. Once you have the vhdl equivalent, you can resume the normal flow. However the verilog to vhdl translations are not perfect, but I would say try both conversions and benchmark the outcomes. I believe iverilog's translator is a better one. I'm curious about the outcomes. Let us know your progress. Chitlesh From chitlesh at fedoraproject.org Thu Dec 24 07:37:28 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Thu, 24 Dec 2009 08:37:28 +0100 Subject: [fedora-electronic-lab] Help on boot In-Reply-To: References: Message-ID: <13dbfe4f0912232337qe124eb5gfefc74ae1c42a787@mail.gmail.com> Hello, Can you please detailed your situation to the "Fedora users mailing list" please ? Recently someone has a similar issue. They will gladly respond to you quickly. Chitlesh On Wed, Dec 23, 2009 at 3:56 PM, Avgerinos Bakalidis wrote: > Hey > I have a macbook intel with MAC OSX 10.6, and i want to run a live cd with > the?Fedora electronic lab because i am studying electronic engineering and i > believe these programs are really great! > I have downloaded the?Fedora-11-i686-Live-FEL.iso file and i have try many > times to create a live usb from the disk utility program. > Every time when i run the live usb on my mac there is a problem on boot. > Actually there is a command line and i do not know how to run the fedora. > I hope you can help me... > Thanks > > ________________________________ > Windows Live: Make it easier for your friends to see what you?re up to on > Facebook. > _______________________________________________ > Fedora-electronic-lab-list mailing list > Fedora-electronic-lab-list at redhat.com > https://www.redhat.com/mailman/listinfo/fedora-electronic-lab-list > -- Chitlesh GOORAH Fedora Electronic Lab http://spins.fedoraproject.org/fel From trac at fedorahosted.org Fri Dec 25 15:03:19 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Fri, 25 Dec 2009 15:03:19 -0000 Subject: [fedora-electronic-lab] [Fedora Electronic Lab] #77: Check the following features on xcircuit with upstream In-Reply-To: <066.abb219a68167527bbd335a602129946c@fedorahosted.org> References: <066.abb219a68167527bbd335a602129946c@fedorahosted.org> Message-ID: <075.931e9aa2fed87f49247534c755f7ba4d@fedorahosted.org> #77: Check the following features on xcircuit with upstream ----------------------------+----------------------------------------------- Reporter: chitlesh | Owner: chitlesh Type: defect | Status: reopened Priority: major | Milestone: Upstream Component: analog design | Version: devel Resolution: | Keywords: xcircuit, edif ----------------------------+----------------------------------------------- Comment (by chitlesh): BUG !#009 page overlap on the Page Directory when imported *.ps(containing more than 1 pages) BUG !#010 Segmentation fault when reproducing BUG !#009 and selecting any of the imported pages. These bugs have already been reported upstream : http://opencircuitdesign.com/pipermail/xcircuit-dev/2009/000474.html -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Mon Dec 28 07:51:01 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Mon, 28 Dec 2009 07:51:01 -0000 Subject: [fedora-electronic-lab] =?utf-8?q?=5BFedora_Electronic_Lab=5D_=23?= =?utf-8?q?74=3A_=C2=BFPossible_inclusion_of__Arduino_IDE_in_FEL=3F?= In-Reply-To: <062.d1d72732ac80bbc0b887d955cdffc527@fedorahosted.org> References: <062.d1d72732ac80bbc0b887d955cdffc527@fedorahosted.org> Message-ID: <071.eb995941764734f43071196fe1594244@fedorahosted.org> #74: ?Possible inclusion of Arduino IDE in FEL? ------------------------------+--------------------------------------------- Reporter: q2dg | Owner: shakthimaan* Type: enhancement | Status: new Priority: minor | Milestone: Fedora 13 Component: embedded design | Version: devel Resolution: | Keywords: Arduino IDE ------------------------------+--------------------------------------------- Changes (by shakthimaan): * owner: chitlesh => shakthimaan* Comment: Java is not my cup of coffee :), but I will take this one. -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From trac at fedorahosted.org Mon Dec 28 18:48:12 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Mon, 28 Dec 2009 18:48:12 -0000 Subject: [fedora-electronic-lab] [Fedora Electronic Lab] #91: Package ForSyDe Message-ID: <069.5dce3e6a1e5acc780e209cb7abecb19d@fedorahosted.org> #91: Package ForSyDe -----------------------------------------------------+---------------------- Reporter: shakthimaan | Owner: shakthimaan Type: task | Status: new Priority: major | Milestone: Fedora 13 Component: FEL | Version: devel Keywords: formal method, hardware design, haskell | -----------------------------------------------------+---------------------- Package ForSyDe (Haskell) by Prof. Ingo Sander from kth.se. http://hackage.haskell.org/package/ForSyDe http://www.ict.kth.se/forsyde/ -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From geleem at bellsouth.net Tue Dec 29 07:10:02 2009 From: geleem at bellsouth.net (g) Date: Tue, 29 Dec 2009 07:10:02 +0000 Subject: [fedora-electronic-lab] gpsim and missing libraries Message-ID: <4B39AB4A.4030807@bellsouth.net> greetings, this was originally started as; +++ Subject: PIK microcontroller development issues From: Les To: fedora-list at redhat.com Date: Sat, 26 Dec 2009 15:33:56 -0800 Message-Id: <1261870436.14301.19.camel at localhost.localdomain +++ where in les howell had a problem with linking of libraries when using gpsim. a suggestion was made by chitlesh >> sdcc has its binaries in /usr/libexec/sdcc in order not to create >> conflicts with other general software. >> >> can you create a file "/etc/profile.d/mypiklab.sh" >> and add the following contents >> #-------- >> export PATH=$PATH:/usr/libexec/sdcc >> #------ >> >> Then reboot and try piklab again. If you still have issues with it, >> please post an example (on FEL's mailing list) so that we can >> reproduce this error. here are my results and errors are same as what les howell reported. ++++ **note** 1) there is a duplicating of "/usr/lib/alliance/man" in both "MANPATH"s 2) use of line break "\" insertion { after adding '/etc/profile.d/mypiklab.sh' and reboot } [root at argospappous ~]# uname -a Linux argospappous.ciee.lab 2.6.31.5-127.fc12.i686 #1 SMP \ Sat Nov 7 21:41:45 EST 2009 i686 i686 i386 GNU/Linux [root at argospappous ~]# cat /etc/profile.d/mypiklab.sh ## fn= mypklab.sh v .2009.1229.0426 # as recommended by chitlesh #-------- export PATH=$PATH:/usr/libexec/sdcc #------ [root at argospappous ~]# env|grep PATH MANPATH=:/usr/lib/alliance/man:/usr/local/share/man:/usr/share/man: \ /usr/lib/alliance/man:/usr/lib/alliance/man LD_LIBRARY_PATH=/usr/lib/alliance/lib PATH=/root/bin:/root/scripts:/home/users/scripts:/sbin:/usr/sbin:/bin: \ /usr/bin:/usr/X11R6/bin WINDOWPATH=7 QT_PLUGIN_PATH=/root/.kde/lib/kde4/plugins/:/usr/lib/kde4/plugins/ [root at argospappous ~]# { after 2nd reboot } [geo at argospappous ~]$ env|grep PATH MANPATH=:/usr/lib/alliance/man:/usr/local/share/man:/usr/share/man: \ /usr/lib/alliance/man:/usr/lib/alliance/man LD_LIBRARY_PATH=/usr/lib/alliance/lib PATH=/home/geo-f/bin:/home/geo-f/scripts:/home/users/scripts:/bin: \ /usr/bin:/sbin:/usr/sbin:/usr/X11R6/bin WINDOWPATH=7 QT_PLUGIN_PATH=/home/geo-f/.kde/lib/kde4/plugins/:/usr/lib/kde4/plugins/ [geo at argospappous ~]$ gpsim gpsim - the GNUPIC simulator version: Release 0.24.0 type help for help **gpsim> SimulationMode:52 The font "Courier Roman 14" does not support all the required character \ sets for the current locale "LC_CTYPE=en_US.UTF-8;LC_NUMERIC=C; \ LC_TIME=en_US.UTF-8; LC_COLLATE=en_US.UTF-8;LC_MONETARY=en_US.UTF-8; \ LC_MESSAGES=en_US.UTF-8;LC_PAPER=en_US.UTF-8;LC_NAME=en_US.UTF-8; \ LC_ADDRESS=en_US.UTF-8;LC_TELEPHONE=en_US.UTF-8;LC_MEASUREMENT=en_US.UTF-8; \ LC_IDENTIFICATION=en_US.UTF-8" (Missing character set "ISO8859-1") (Missing character set "ISO8859-1") (Missing character set "ISO8859-2") (Missing character set "ISO8859-3") (Missing character set "ISO8859-4") (Missing character set "ISO8859-5") (Missing character set "KOI8-R") (Missing character set "ISO8859-7") (Missing character set "ISO8859-9") (Missing character set "ISO8859-13") (Missing character set "ISO8859-14") (Missing character set "ISO8859-15") (Missing character set "JISX0208.1983-0") (Missing character set "KSC5601.1987-0") (Missing character set "GB2312.1980-0") (Missing character set "JISX0201.1976-0") (Missing character set "ISO10646-1") The font "Courier Bold 14" does not support all the required character \ sets for the current locale "LC_CTYPE=en_US.UTF-8;LC_NUMERIC=C; \ LC_TIME=en_US.UTF-8;LC_COLLATE=en_US.UTF-8;LC_MONETARY=en_US.UTF-8; \ LC_MESSAGES=en_US.UTF-8;LC_PAPER=en_US.UTF-8;LC_NAME=en_US.UTF-8; \ LC_ADDRESS=en_US.UTF-8;LC_TELEPHONE=en_US.UTF-8;LC_MEASUREMENT=en_US.UTF-8; \ LC_IDENTIFICATION=en_US.UTF-8" (Missing character set "ISO8859-1") (Missing character set "ISO8859-1") (Missing character set "ISO8859-2") (Missing character set "ISO8859-3") (Missing character set "ISO8859-4") (Missing character set "ISO8859-5") (Missing character set "KOI8-R") (Missing character set "ISO8859-7") (Missing character set "ISO8859-9") (Missing character set "ISO8859-13") (Missing character set "ISO8859-14") (Missing character set "ISO8859-15") (Missing character set "JISX0208.1983-0") (Missing character set "KSC5601.1987-0") (Missing character set "GB2312.1980-0") (Missing character set "JISX0201.1976-0") (Missing character set "ISO10646-1") The font "Courier Bold 14" does not support all the required character \ sets for the current locale "LC_CTYPE=en_US.UTF-8;LC_NUMERIC=C; \ LC_TIME=en_US.UTF-8;LC_COLLATE=en_US.UTF-8;LC_MONETARY=en_US.UTF-8; \ LC_MESSAGES=en_US.UTF-8;LC_PAPER=en_US.UTF-8;LC_NAME=en_US.UTF-8; \ LC_ADDRESS=en_US.UTF-8;LC_TELEPHONE=en_US.UTF-8;LC_MEASUREMENT=en_US.UTF-8; \ LC_IDENTIFICATION=en_US.UTF-8" (Missing character set "ISO8859-1") (Missing character set "ISO8859-1") (Missing character set "ISO8859-2") (Missing character set "ISO8859-3") (Missing character set "ISO8859-4") (Missing character set "ISO8859-5") (Missing character set "KOI8-R") (Missing character set "ISO8859-7") (Missing character set "ISO8859-9") (Missing character set "ISO8859-13") (Missing character set "ISO8859-14") (Missing character set "ISO8859-15") (Missing character set "JISX0208.1983-0") (Missing character set "KSC5601.1987-0") (Missing character set "GB2312.1980-0") (Missing character set "JISX0201.1976-0") (Missing character set "ISO10646-1") FIXME gui_breadboard.cc Build Warning gp or gp->cpu == NULL in TraceWindow_update Exiting gpsim ++++ besides having a duplicating of "/usr/lib/alliance/man", which is not related, there is no path "/usr/libexec/sdcc" being added. with out trying, i a wondering if "/usr/libexec/sdcc" should better be applied to "/etc/bashrc". or would this only effect user's path and not effect a program's path for gpsim to find it's libraries? or, should "/usr/libexec/sdcc" "export PATH=$PATH:/usr/libexec/sdcc" be changed to; export LD_LIBRARY_PATH=$LD_LIBRARY_PATH:/usr/libexec/sdcc export QT_PLUGIN_PATH=$QT_PLUGIN_PATH:/usr/libexec/sdcc when les howell gets his Christmas lights down, i am sure that he will be adding to this post or starting a new post. tia. -- peace out. tc,hago. g . **** in a free world without fences, who needs gates. ** help microsoft stamp out piracy - give linux to a friend today. ** to mess up a linux box, you need to work at it. to mess up an ms windows box, you just need to *look* at it. ** learn linux: 'Rute User's Tutorial and Exposition' http://rute.2038bug.com/index.html 'The Linux Documentation Project' http://www.tldp.org/ 'LDP HOWTO-index' http://www.tldp.org/HOWTO/HOWTO-INDEX/index.html 'HowtoForge' http://howtoforge.com/ **** -------------- next part -------------- A non-text attachment was scrubbed... Name: signature.asc Type: application/pgp-signature Size: 545 bytes Desc: OpenPGP digital signature URL: From shakthimaan at gmail.com Tue Dec 29 07:38:20 2009 From: shakthimaan at gmail.com (Shakthi Kannan) Date: Tue, 29 Dec 2009 13:08:20 +0530 Subject: [fedora-electronic-lab] gpsim and missing libraries In-Reply-To: <4B39AB4A.4030807@bellsouth.net> References: <4B39AB4A.4030807@bellsouth.net> Message-ID: Hi, --- On Tue, Dec 29, 2009 at 12:40 PM, g wrote: |?1) there is a duplicating of "/usr/lib/alliance/man" in both "MANPATH"s \-- Yes. $ echo $MANPATH :/usr/lib/alliance/man:/usr/local/share/man:/usr/share/man/en:/usr/share/man:/usr/lib/alliance/man:/usr/local/man:/usr/lib/alliance/man --- |?2) use of line break "\" insertion \-- Sorry, where? --- | { after adding '/etc/profile.d/mypiklab.sh' and reboot } \-- I already have /usr/libexec/sdcc in my PATH on Fedora 12. $ echo $PATH /usr/lib/qt-3.3/bin:/usr/kerberos/sbin:/usr/kerberos/bin:/usr/local/bin:/usr/bin:/bin:/usr/local/sbin:/usr/sbin:/sbin:/usr/lib/alliance/bin:/usr/libexec/sdcc:/home/shaks/bin:/usr/lib/alliance/bin:/usr/libexec/sdcc --- | [root at argospappous ~]# uname -a | Linux argospappous.ciee.lab 2.6.31.5-127.fc12.i686 #1 SMP \ \-- Same kernel here. --- | [root at argospappous ~]# cat /etc/profile.d/mypiklab.sh | ## ? ? ? fn= ? ?mypklab.sh ? ? ?v .2009.1229.0426 | # as recommended by chitlesh | | #-------- | export PATH=$PATH:/usr/libexec/sdcc | #------ \-- I didn't create this file. --- | **gpsim> SimulationMode:52 | The font "Courier Roman 14" does not support all the required character \ | ?sets for the current locale "LC_CTYPE=en_US.UTF-8;LC_NUMERIC=C; \ | ?LC_TIME=en_US.UTF-8; LC_COLLATE=en_US.UTF-8;LC_MONETARY=en_US.UTF-8; \ | ... | ?(Missing character set "KSC5601.1987-0") | ?(Missing character set "GB2312.1980-0") | ?(Missing character set "JISX0201.1976-0") | ?(Missing character set "ISO10646-1") | FIXME gui_breadboard.cc Build | Warning gp or gp->cpu == NULL in TraceWindow_update | Exiting gpsim \-- I don't get these warnings when starting gpsim on gnome-terminal: $ rpm -qa | grep gpsim gpsim-0.24.0-1.fc12.i686 $ rpm -qa | grep gnome-terminal gnome-terminal-2.28.1-1.fc12.i686 --- | besides having a duplicating of "/usr/lib/alliance/man", which is not related, | there is no path "/usr/libexec/sdcc" being added. \-- I didn't use a /etc/profile.d/mypiklab.sh file. Do you have a sample test input for gpsim, that I can use for testing? SK -- Shakthi Kannan http://www.shakthimaan.com From geleem at bellsouth.net Tue Dec 29 09:03:01 2009 From: geleem at bellsouth.net (g) Date: Tue, 29 Dec 2009 09:03:01 +0000 Subject: [fedora-electronic-lab] gpsim and missing libraries In-Reply-To: References: <4B39AB4A.4030807@bellsouth.net> Message-ID: <4B39C5C5.1060606@bellsouth.net> Shakthi Kannan wrote: > 1) there is a duplicating of "/usr/lib/alliance/man" in both "MANPATH"s > > Yes. > > $ echo $MANPATH not relevant to problem. mentioned only because it is a problem with 'alliance' and a poster not using 'alliance' might comment regarding. > 2) use of line break "\" insertion > > Sorry, where? look at my post again and you will see it in gpsim's error listing i posted. [probably not noticeable to you with your quoting.] > { after adding '/etc/profile.d/mypiklab.sh' and reboot } > > I already have /usr/libexec/sdcc in my PATH on Fedora 12. how did "/usr/libexec/sdcc" get in your "$PATH"? > [root at argospappous ~]# uname -a > Linux argospappous.ciee.lab 2.6.31.5-127.fc12.i686 #1 SMP \ > > Same kernel here. then you are 2 kernel updates behind. > I didn't create this file. how did "/usr/libexec/sdcc" get in your "$PATH"? > I don't get these warnings when starting gpsim on gnome-terminal: because you have "/usr/libexec/sdcc" in your "$PATH". > Do you have a sample test input for gpsim, that I can use for testing? not relevant to problem. in addition; 1) please use "compliant email quoting" in your replies. your non-compliant "|" and "\ ---" quoting makes for garbaged replies when eliminating long line wrapping. 2) please reply only to list and not include my email address in replies. thank you. -- peace out. tc,hago. g . **** in a free world without fences, who needs gates. ** help microsoft stamp out piracy - give linux to a friend today. ** to mess up a linux box, you need to work at it. to mess up an ms windows box, you just need to *look* at it. ** learn linux: 'Rute User's Tutorial and Exposition' http://rute.2038bug.com/index.html 'The Linux Documentation Project' http://www.tldp.org/ 'LDP HOWTO-index' http://www.tldp.org/HOWTO/HOWTO-INDEX/index.html 'HowtoForge' http://howtoforge.com/ **** -------------- next part -------------- A non-text attachment was scrubbed... Name: signature.asc Type: application/pgp-signature Size: 545 bytes Desc: OpenPGP digital signature URL: From chitlesh at fedoraproject.org Tue Dec 29 09:49:34 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Tue, 29 Dec 2009 10:49:34 +0100 Subject: [fedora-electronic-lab] gpsim and missing libraries In-Reply-To: <4B39C5C5.1060606@bellsouth.net> References: <4B39AB4A.4030807@bellsouth.net> <4B39C5C5.1060606@bellsouth.net> Message-ID: <13dbfe4f0912290149q59dc4923vb61c777c9d84c58d@mail.gmail.com> On Tue, Dec 29, 2009 at 10:03 AM, g <> wrote: >> { after adding '/etc/profile.d/mypiklab.sh' and reboot } >> >> I already have /usr/libexec/sdcc in my PATH on Fedora 12. > > how did "/usr/libexec/sdcc" get in your "$PATH"? > Hello there, The reason why Shakthi has /usr/libexec/sdcc in hjs PATH is certainly because he has ktechlab installed while g doesn't have ktechlab installed. I have added /etc/profile.d/ktechlab.sh to set the sdcc path for ktechlab. If this is a "sdcc path" concern for piklab too, then the best solution in terms of default PATH setting would be to : * remove /etc/profile.d/ktechlab.sh from ktechlab * don't provide a /etc/profile.d/piklab.sh for piklab * provide a /etc/profile.d/sdcc.sh with sdcc directly. But the issue here is rather to know why gpsim is giving character set warnings. Can you please : * create a _new_ user "test" * login into that user * post the output of $ echo $PATH * gpsim Are you using gnome or kde ? If you still have a problem then the fedora maintainer (who is gpsim's upstream) of gpsim should have a look at it. Chitlesh -- Chitlesh GOORAH Fedora Electronic Lab http://spins.fedoraproject.org/fel From trac at fedorahosted.org Tue Dec 29 15:54:18 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Tue, 29 Dec 2009 15:54:18 -0000 Subject: [fedora-electronic-lab] [Fedora Electronic Lab] #92: Check the following features on ghdl with upstream Message-ID: <066.ef313b66ff53dfe069e30f4dc82a549e@fedorahosted.org> #92: Check the following features on ghdl with upstream ----------------------------+----------------------------------------------- Reporter: chitlesh | Owner: chitlesh Type: upstream | Status: new Priority: minor | Milestone: Upstream Component: digital design | Version: devel Keywords: ghdl | ----------------------------+----------------------------------------------- = phenomenon = This ticket tracks bugs related to the actual ghdl version which we provides. It will help us ensure progressive bug fixing for ghdl so that users can receive those bug fixes quickly. = BUG !#001 = No analog waveform support on gtkwave if the waveforms were generated in ghw format instead of VCD. = BUG !#002 = --gen-makefile argument does not include -fexplicit (if specified) in the generated Makefile's GHDLFLAGS. This two bugs were reported on GHDL mailing list. -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From geleem at bellsouth.net Wed Dec 30 03:44:13 2009 From: geleem at bellsouth.net (g) Date: Wed, 30 Dec 2009 03:44:13 +0000 Subject: [fedora-electronic-lab] gpsim and missing libraries In-Reply-To: <13dbfe4f0912290149q59dc4923vb61c777c9d84c58d@mail.gmail.com> References: <4B39AB4A.4030807@bellsouth.net> <4B39C5C5.1060606@bellsouth.net> <13dbfe4f0912290149q59dc4923vb61c777c9d84c58d@mail.gmail.com> Message-ID: <4B3ACC8D.5090406@bellsouth.net> Chitlesh GOORAH wrote: > On Tue, Dec 29, 2009 at 10:03 AM, g <> wrote: >>> { after adding '/etc/profile.d/mypiklab.sh' and reboot } >>> >>> I already have /usr/libexec/sdcc in my PATH on Fedora 12. >> how did "/usr/libexec/sdcc" get in your "$PATH"? >> > > Hello there, > The reason why Shakthi has /usr/libexec/sdcc in hjs PATH is certainly > because he has ktechlab installed while g doesn't have ktechlab > installed. sounds 50% reasonable. g does have ktechlab installed also. see attachments: cad-eda.0011 > * create a _new_ user "test" > * login into that user > * post the output of > $ echo $PATH > * gpsim created. logged in. see attachment "path.test". same errors. > Are you using gnome or kde ? kde. see attachment: cad-eda.0011 > If you still have a problem then the fedora maintainer (who is gpsim's > upstream) of gpsim should have a look at it. sounds like he needs to have a look. in addition:: after have run as user 'test' and looking at '.bashrc', it hit me as to why i may not have additional directories in my $PATH. when i logged back in as my normal user, i checked my '.bashrc' and found at some time in past years of using same '.bashrc' and making mods and additions, somehow or what ever, "PATH=$PATH:custom/paths" got changed to "PATH=custom/paths". i inserted "$PATH:" back in, logged out, logged back in, "env|grep PATH" to insure that default "$PATH" was there and it was. see attachment "newpath.geo.0001" started 'kde', opened a terminal window and entered "gpsim". failed with same libraries missing as reported in 1st post of this thread. so, where/who to now? -- peace out. tc,hago. g . **** in a free world without fences, who needs gates. ** help microsoft stamp out piracy - give linux to a friend today. ** to mess up a linux box, you need to work at it. to mess up an ms windows box, you just need to *look* at it. ** learn linux: 'Rute User's Tutorial and Exposition' http://rute.2038bug.com/index.html 'The Linux Documentation Project' http://www.tldp.org/ 'LDP HOWTO-index' http://www.tldp.org/HOWTO/HOWTO-INDEX/index.html 'HowtoForge' http://howtoforge.com/ **** -------------- next part -------------- An embedded and charset-unspecified text was scrubbed... Name: cad-eda.0011 URL: -------------- next part -------------- An embedded and charset-unspecified text was scrubbed... Name: path.test URL: -------------- next part -------------- An embedded and charset-unspecified text was scrubbed... Name: newpath.geo.0001 URL: -------------- next part -------------- A non-text attachment was scrubbed... Name: signature.asc Type: application/pgp-signature Size: 545 bytes Desc: OpenPGP digital signature URL: From chitlesh at fedoraproject.org Wed Dec 30 12:37:38 2009 From: chitlesh at fedoraproject.org (Chitlesh GOORAH) Date: Wed, 30 Dec 2009 13:37:38 +0100 Subject: [fedora-electronic-lab] gpsim and missing libraries In-Reply-To: <4B3ACC8D.5090406@bellsouth.net> References: <4B39AB4A.4030807@bellsouth.net> <4B39C5C5.1060606@bellsouth.net> <13dbfe4f0912290149q59dc4923vb61c777c9d84c58d@mail.gmail.com> <4B3ACC8D.5090406@bellsouth.net> Message-ID: <13dbfe4f0912300437y7e255507ie139ff081d9b4143@mail.gmail.com> On Wed, Dec 30, 2009 at 4:44 AM, g wrote: > KDE: 3.5.10-19.fc12 Fedora > Qt: 3.3.8b You are not using the default f12 (from fedora's official repositories). Maybe that's the source of the character encoding issues. Chitlesh From geleem at bellsouth.net Wed Dec 30 15:42:39 2009 From: geleem at bellsouth.net (g) Date: Wed, 30 Dec 2009 15:42:39 +0000 Subject: [fedora-electronic-lab] gpsim and missing libraries In-Reply-To: <4B3B6B10.9050403@bellsouth.net> References: <4B39AB4A.4030807@bellsouth.net> <4B39C5C5.1060606@bellsouth.net> <13dbfe4f0912290149q59dc4923vb61c777c9d84c58d@mail.gmail.com> <4B3ACC8D.5090406@bellsouth.net> <13dbfe4f0912300437y7e255507ie139ff081d9b4143@mail.gmail.com> <4B3B6B10.9050403@bellsouth.net> Message-ID: <4B3B74EF.8030001@bellsouth.net> g wrote: > Chitlesh GOORAH wrote: >> On Wed, Dec 30, 2009 at 4:44 AM, g wrote: >>> KDE: 3.5.10-19.fc12 Fedora >>> Qt: 3.3.8b >> >> You are not using the default f12 (from fedora's official >> repositories). Maybe that's the source of the character encoding >> issues. > > i used either 'fel-12 live' cd, or i used f-12 dvd from fedora project. > do not recall which now, as i pulled both. > > i ran 3rd update two weeks ago, 2 had kernel updates. i had to drop back > to install kernel do to problems with with new kernel boot problems. > > currently, i am under sl-5.4. i can reboot to f-12 and check to see what > is in installation log in root's directory about for further information > if you think that will help tell just what i installed. > > if fel live does not build this file, then that will be an indication. if > it does build file, there should be some sort of difference between a live > build and a dvd build and log should show it. > > being that you built 'fel-12 live', what do you think? > > yes / no / maybe? pick any 2. ;) in addition, i just check updated bookmarks and dvd's that i pulled; f-12 from; http://torrent.fedoraproject.org/torrents//Fedora-12-i386-DVD.torrent http://torrent.fedoraproject.org/torrents//Fedora-12-i686-Live-KDE.torrent fel-12 live dvd from; http://torrent.fedoraproject.org/spins/Fedora-12-i686-Live-FEL.torrent therefor, i either installed from 'f-12' dvd, 'f-12 live' dvd or 'fel-12 live' dvd. if 'f-12' dvd does not contain 'kde' i would have installed from 'f-12 live' dvd or 'fel-12 live' dvd. i do recall that 'fel-12 live' was a slow download as there are very few torrent feeds. so, if answer is in installation log, i can find from it what i installed. -- peace out. tc,hago. g . **** in a free world without fences, who needs gates. ** help microsoft stamp out piracy - give linux to a friend today. ** to mess up a linux box, you need to work at it. to mess up an ms windows box, you just need to *look* at it. ** learn linux: 'Rute User's Tutorial and Exposition' http://rute.2038bug.com/index.html 'The Linux Documentation Project' http://www.tldp.org/ 'LDP HOWTO-index' http://www.tldp.org/HOWTO/HOWTO-INDEX/index.html 'HowtoForge' http://howtoforge.com/ **** -------------- next part -------------- A non-text attachment was scrubbed... Name: signature.asc Type: application/pgp-signature Size: 545 bytes Desc: OpenPGP digital signature URL: From trac at fedorahosted.org Thu Dec 31 04:12:44 2009 From: trac at fedorahosted.org (Fedora Electronic Lab) Date: Thu, 31 Dec 2009 04:12:44 -0000 Subject: [fedora-electronic-lab] [Fedora Electronic Lab] #59: package teal In-Reply-To: <066.b3332ee234aaf5aade2f8d821b51194a@fedorahosted.org> References: <066.b3332ee234aaf5aade2f8d821b51194a@fedorahosted.org> Message-ID: <075.2dadda87f631780a39a59d9ea43d034c@fedorahosted.org> #59: package teal ---------------------------+------------------------------------------------ Reporter: chitlesh | Owner: chitlesh Type: task | Status: new Priority: major | Milestone: Fedora 13 Component: VHDL/Verilog | Version: devel Resolution: | Keywords: ---------------------------+------------------------------------------------ Comment (by shakthimaan): Fedora Legal has accepted the Trusster Open Source License as Free, but, it is incompatible with the GPL. https://www.redhat.com/archives/fedora-legal- list/2009-December/msg00060.html The http://sourceforge.net/projects/teal/ has old code, but, when registering at http://www.trusster.com, one can download the latest sources. Their documentation is good too. At present, the build fails on Fedora, and have posted a support query: http://forums.trusster.com/topic/teal-build-error-fedora -- Ticket URL: Fedora Electronic Lab Design, Simulate and Program electronics. From tomkonikkara at gmail.com Thu Dec 31 18:41:51 2009 From: tomkonikkara at gmail.com (Tom varghese) Date: Fri, 1 Jan 2010 00:11:51 +0530 Subject: [fedora-electronic-lab] MCU 8051 IDE Message-ID: <5124c8500912311041m84387f3md43da2c9bfc69c45@mail.gmail.com> Hi there, MCU 8051 IDE not run on fel 12. I got the following results. $ mcu8051ide --check-libraries MCU 8051 IDE v1.3.3 Checking libraries... 1/9 Checking for library BWidget Library present ... NO ! Version 1.7 ... NO ! 2/9 Checking for library Itcl Library present ... YES Version 3.4 ... YES 3/9 Checking for library Tcl Library present ... YES Version 8.2 ... YES 4/9 Checking for library md5 Library present ... YES Version 2.0 ... YES 5/9 Checking for library crc16 Library present ... YES Version 1.1 ... YES 6/9 Checking for library Tk Library present ... NO ! Version 8.5 ... NO ! 7/9 Checking for library img::png Library present ... NO ! Version 1.3 ... NO ! 8/9 Checking for library tdom Library present ... YES Version 0.8 ... YES 9/9 Checking for library Tclx Library present ... YES Version 8.0 ... YES RESULTS: Number of fails: 3 PROGRAM WILL NOT RUN, please install the missing libraries Please Help. -- Knowledge is free. Free means open source... http://opentechlab.blogspot.com -------------- next part -------------- An HTML attachment was scrubbed... URL: From shakthimaan at gmail.com Thu Dec 31 19:53:11 2009 From: shakthimaan at gmail.com (Shakthi Kannan) Date: Fri, 1 Jan 2010 01:23:11 +0530 Subject: [fedora-electronic-lab] MCU 8051 IDE In-Reply-To: <5124c8500912311041m84387f3md43da2c9bfc69c45@mail.gmail.com> References: <5124c8500912311041m84387f3md43da2c9bfc69c45@mail.gmail.com> Message-ID: Hi, --- On Fri, Jan 1, 2010 at 12:11 AM, Tom varghese wrote: | MCU 8051 IDE not run on fel 12. I got the following results. | | $ mcu8051ide --check-libraries | MCU 8051 IDE v1.3.3 | | ??? Checking libraries... | ??? ??? 1/9 Checking for library BWidget | ??? ??? ??? Library present??? ... NO ! | ??? ??? ??? Version 1.7??? ... NO ! \-- Thanks for reporting this. --- | ??? ??? 6/9 Checking for library Tk | ??? ??? ??? Library present??? ... NO ! | ??? ??? ??? Version 8.5??? ... NO ! | ??? ??? 7/9 Checking for library img::png | ??? ??? ??? Library present??? ... NO ! | ??? ??? ??? Version 1.3??? ... NO ! \-- Will check on these. SK -- Shakthi Kannan http://www.shakthimaan.com