[Freeipa-devel] [PATCH] 872 allow csr file to be provided interactively

Martin Kosek mkosek at redhat.com
Wed Sep 14 12:23:53 UTC 2011


On Tue, 2011-09-13 at 14:35 -0400, Rob Crittenden wrote:
> Add an escape clause to the CSR validator in the cert plugin. If the csr 
> is a file just return and let the load_files() call slurp in the 
> contents. It will still get validated.
> 
> rob

This works fine for CSR file.

Shouldn't we fix this also for other File params? For example,
entitle-import command will be affected as well:

    takes_args = (
        File('usercertificate*', validate_certificate,
            cli_name='certificate_file',
        ),
    )

We can create a separate ticket for entitle-import if you want.

Martin




More information about the Freeipa-devel mailing list