[libvirt PATCH 2/8] sync_qemu_models_i386.py: Add missing features

Tim Wiederhake twiederh at redhat.com
Thu Mar 9 13:20:05 UTC 2023


This brings the tool's list of features in sync with qemu
commit 9832009d9dd2386664c15cc70f6e6bfe062be8bd.

Signed-off-by: Tim Wiederhake <twiederh at redhat.com>
---
 src/cpu_map/sync_qemu_models_i386.py | 8 ++++++++
 1 file changed, 8 insertions(+)

diff --git a/src/cpu_map/sync_qemu_models_i386.py b/src/cpu_map/sync_qemu_models_i386.py
index a2cc11365a..37bbe6d09e 100755
--- a/src/cpu_map/sync_qemu_models_i386.py
+++ b/src/cpu_map/sync_qemu_models_i386.py
@@ -54,6 +54,7 @@ def translate_feature(name):
         "CPUID_7_0_ECX_AVX512_VBMI": "avx512vbmi",
         "CPUID_7_0_ECX_AVX512_VBMI2": "avx512vbmi2",
         "CPUID_7_0_ECX_AVX512_VPOPCNTDQ": "avx512-vpopcntdq",
+        "CPUID_7_0_ECX_BUS_LOCK_DETECT": "bus-lock-detect",
         "CPUID_7_0_ECX_CLDEMOTE": "cldemote",
         "CPUID_7_0_ECX_GFNI": "gfni",
         "CPUID_7_0_ECX_LA57": "la57",
@@ -70,13 +71,19 @@ def translate_feature(name):
         "CPUID_7_0_EDX_ARCH_CAPABILITIES": "arch-capabilities",
         "CPUID_7_0_EDX_AVX512_4FMAPS": "avx512-4fmaps",
         "CPUID_7_0_EDX_AVX512_4VNNIW": "avx512-4vnniw",
+        "CPUID_7_0_EDX_AVX512_FP16": "avx512-fp16",
         "CPUID_7_0_EDX_CORE_CAPABILITY": "core-capability",
         "CPUID_7_0_EDX_FSRM": "fsrm",
+        "CPUID_7_0_EDX_SERIALIZE": "serialize",
         "CPUID_7_0_EDX_SPEC_CTRL": "spec-ctrl",
         "CPUID_7_0_EDX_SPEC_CTRL_SSBD": "ssbd",
         "CPUID_7_0_EDX_STIBP": "stibp",
+        "CPUID_7_0_EDX_TSX_LDTRK": "tsx-ldtrk",
         "CPUID_7_1_EAX_AVX512_BF16": "avx512-bf16",
         "CPUID_7_1_EAX_AVX_VNNI": "avx-vnni",
+        "CPUID_7_1_EAX_FSRC": "fsrc",
+        "CPUID_7_1_EAX_FSRS": "fsrs",
+        "CPUID_7_1_EAX_FZRM": "fzrm",
         "CPUID_8000_0008_EBX_AMD_SSBD": "amd-ssbd",
         "CPUID_8000_0008_EBX_CLZERO": "clzero",
         "CPUID_8000_0008_EBX_IBPB": "ibpb",
@@ -90,6 +97,7 @@ def translate_feature(name):
         "CPUID_CMOV": "cmov",
         "CPUID_CX8": "cx8",
         "CPUID_DE": "de",
+        "CPUID_D_1_EAX_XFD": "xfd",
         "CPUID_EXT2_3DNOW": "3dnow",
         "CPUID_EXT2_3DNOWEXT": "3dnowext",
         "CPUID_EXT2_FFXSR": "fxsr_opt",
-- 
2.39.2



More information about the libvir-list mailing list