Branch 'f12' - 2 commits - en-US/CircuitDesign.xml en-US/Devel-Embedded.xml en-US/ElectronicDesignAssistants.xml en-US/Release_Notes.xml

John J. McDonough jjmcd at fedoraproject.org
Wed Sep 16 21:33:10 UTC 2009


 en-US/CircuitDesign.xml              |  164 +++++++++-
 en-US/Devel-Embedded.xml             |  114 ++++++-
 en-US/ElectronicDesignAssistants.xml |  566 ++++++++++++++++++++++++++++++++++-
 en-US/Release_Notes.xml              |    2 
 4 files changed, 820 insertions(+), 26 deletions(-)

New commits:
commit 7ab49161b181c3bbb2c38bbeedebb0db4ddc6ab7
Merge: 26d1b31... 74c8045...
Author: John J. McDonough <jjmcd at fedoraproject.org>
Date:   Wed Sep 16 17:33:00 2009 -0400

    Merge branch 'f12' of ssh://fedorahosted.org/git/docs/release-notes into f12



commit 26d1b3176377d1d99cb1b2d27f30aff8a7e9e3f0
Author: John J. McDonough <jjmcd at fedoraproject.org>
Date:   Wed Sep 16 17:31:25 2009 -0400

    Add updates to FEL

diff --git a/en-US/CircuitDesign.xml b/en-US/CircuitDesign.xml
index 4a6ab2b..9e11ccb 100644
--- a/en-US/CircuitDesign.xml
+++ b/en-US/CircuitDesign.xml
@@ -32,6 +32,30 @@
     </varlistentry>
 
     <varlistentry>
+      <term>gspiceui</term>
+      <listitem>
+	<indexterm>
+	  <primary>gspiceui</primary>
+	</indexterm>
+	<para>
+	  Fedora’s <package>gspiceui</package> is now compiled under
+	  <package>wxgtk</package> 2.8 instead of the old
+	  <package>wxgtk</package> 2.6. This improves GSpiceUIi’s GUI
+	  interface.  GSpiceUI includes missing opamp-3.sym to
+	  /usr/share/gEDA/sym/misc/ (geda symbols directory).
+	  <note>
+	    <title>PPC64</title>
+	    <para>
+	      GSpiceUI is not available on Fedora supported PPC64
+	      architecture as a result of missing gwave for that
+	      architecture.
+	    </para>
+	  </note>
+	</para>
+      </listitem>
+    </varlistentry>
+
+    <varlistentry>
       <term>kicad</term>
       <listitem>
 	<indexterm>
@@ -49,6 +73,73 @@
     </varlistentry>
 
     <varlistentry>
+      <term>ngspice</term>
+      <listitem>
+	<indexterm>
+	  <primary>ngspice</primary>
+	</indexterm>
+	<para>
+	  <package>ngspice</package> has been updated to rework 19.
+	  <itemizedlist>
+	    <listitem>
+	      <para>
+		Memory management: fixed memory leaks (Bug 514484 - A
+		Long Warning Message)
+	      </para>
+	    </listitem>
+	    <listitem>
+	      <para>
+		Integration of espice bugfixes and enhancements
+	      </para>
+	    </listitem>
+	    <listitem>
+	      <para>
+		Bug fixes in plots and cli interface.
+	      </para>
+	    </listitem>
+	    <listitem>
+	      <para>
+		Rework of BSim models, integration of EPFL-EKV model
+		V2.63, ADMS models mextram, hicum0, hicum2.
+	      </para>
+	    </listitem>
+	    <listitem>
+	      <para>
+		Fedora’s ngspice has been patched to accept calls from
+		Xcircuit TCL interface.
+	      </para>
+	    </listitem>
+	  </itemizedlist>
+	</para>
+      </listitem>
+    </varlistentry>
+
+    <varlistentry>
+      <term>tclspice</term>
+      <listitem>
+	<indexterm>
+	  <primary>tclspice</primary>
+	</indexterm>
+	<para>
+	  <package>tclspice</package> is new to Fedora &PRODVER;.
+	</para>
+	<para>
+	  Before ngspice-rework-19, Fedora has considered
+	  <package>tclspice</package>’s stability too fragile.
+	  Examples of <package>tclspice</package> can be found via
+	  <command>rpm -qd tclspice</command>.
+	</para>
+	<para>
+	  Tclspice provides Fedora users with extended capabilities
+	  for mixed-signal design via its TCL backend. Since Fedora is
+	  also providing tools for boolean manipulation (explained in
+	  the following section), Fedora users have adequate materials
+	  to spin his or her own mixed-signal EDA plugin.
+	</para>
+      </listitem>
+    </varlistentry>
+
+    <varlistentry>
       <term>xcircuit</term>
       <listitem>
 	<indexterm>
@@ -56,13 +147,72 @@
 	</indexterm>
 	<para>
 	  <package>xcircuit</package> has been updated to version
-	  3.6. The application now handles multiple schematic windows
-	  and has been expanded to allow handling of larger
-	  projects. Libraries are now arranged according to
-	  "Technology Prefixes". To learn more about these
-	  improvements please refer to the release notes at
-	  <ulink type="http" url=
-	  "http://opencircuitdesign.com/xcircuit/" />.
+	  3.6.161. Highlights include:
+	  <itemizedlist>
+	    <listitem>
+	      <para>
+		Supports multiple schematic layout windows.
+	      </para>
+	    </listitem>
+
+	    <listitem>
+	      <para>
+		A complete overhaul of the key-function binding
+		routines and the function dispatch mechanism was
+		effected.
+	      </para>
+	    </listitem>
+
+	    <listitem>
+	      <para>
+		Several additions and corrections need to be made to
+		make the multiplewindow implementation work properly.
+	      </para>
+	    </listitem>
+
+	    <listitem>
+	      <para>
+		The way libraries are handled by making the
+		distinction between library pages and files using the
+		concept of "technology namespaces" has improved.  Each
+		object has a name composed of a "technology prefix", a
+		double colon ("::"), and the object’s name. Each
+		library file declares a technology name, which is used
+		as the prefix for all objects in that file.  The
+		prefixes are used by XCircuit to track which objects
+		came from which file, regardless of the library page
+		onto which they were loaded. Added support for wires
+		connected to symbol pins remain connected while the
+		symbol is moved. It also expands upon the "Attach-to"
+		function, allowing wires to be (semi-) automatically
+		attached to pin labels or symbol pins.  The key macro
+		for "attach-to" (key "A") can also be used like the
+		"wire" function (key "w") to start a wire with its
+		start-point attached to a symbol pin or pin label.
+	      </para>
+	    </listitem>
+
+	    <listitem>
+	      <para>
+		The way info labels for PCB are handled was changed as
+		from version 3.6.66.
+	      </para>
+	    </listitem>
+
+	    <listitem>
+	      <para>
+		Runtime speed has been improved.
+	      </para>
+	    </listitem>
+
+	    <listitem>
+	      <para>
+		Fedora’s ngspice has been patched to accept calls from
+		Xcircuit TCL interface.
+	      </para>
+	    </listitem>
+
+	  </itemizedlist>
 	</para>
       </listitem>
     </varlistentry>
diff --git a/en-US/Devel-Embedded.xml b/en-US/Devel-Embedded.xml
index f4af50a..3386ce6 100644
--- a/en-US/Devel-Embedded.xml
+++ b/en-US/Devel-Embedded.xml
@@ -20,22 +20,104 @@
     for embedded development on the wiki</citetitle> available at <ulink type="http"
     url="https://fedoraproject.org/wiki/Packages_For_Embedded_Development"></ulink> .
   </para>
-  <para>
-    <indexterm>
-      <primary>gputils</primary>
-    </indexterm>
-    <package>gputils</package> has added support for a number of newer
-    processors. <package>gputils</package> now supports all processors
-    supported by MPLAB 8.20 (except for EEPROM and similar devices).
-  </para>
-  <para>
-    <indexterm>
-      <primary>sdcc</primary>
-    </indexterm>
-    <package>sdcc</package> 2.9.0 includes a number of new
-    features. Refer to <ulink type="http"
-    url="http://sdcc.sourceforge.net/" /> for the complete list.
-  </para>
+  <variablelist>
+    <varlistentry>
+      <term>GNUSim8085</term>
+      <listitem>
+	<indexterm><primary>gnusim8085</primary></indexterm>
+	<para>
+	  <package>gnusim8085</package> is new to Fedora. GNUSim8085
+	  is a graphical simulator for Intel 8085 microprocessor
+	  assembly language. It has some very nice features including
+	  a keypad which can be used to write assembly language
+	  programs with much ease. It also has stack, memory and port
+	  viewers which can be used for debugging the programs.
+	</para>
+      </listitem>
+    </varlistentry>
+    <varlistentry>
+      <term>gputils</term>
+      <listitem>
+	<indexterm>
+	  <primary>gputils</primary>
+	</indexterm>
+	<para>
+	  <package>gputils</package> has added support for a number of
+	  newer processors. <package>gputils</package> now supports all
+	  processors supported by MPLAB 8.20 (except for EEPROM and
+	  similar devices).
+	</para>
+      </listitem>
+    </varlistentry>
+    <varlistentry>
+      <term>gsim85</term>
+      <listitem>
+	<indexterm>
+	  <primary>gsim85</primary>
+	</indexterm>
+	<para>
+	  <package>gsim85</package> is an 8085 microprocessor
+	  simulator. It is having very user friendly graphical user
+	  interface. It can be used to test 8085 programs before
+	  actualy implementing them on target
+	  board. <package>gsim85</package> is new to Fedora &PRODVER;.
+	</para>
+      </listitem>
+    </varlistentry>
+    <varlistentry>
+      <term>mcu8051ide</term>
+      <listitem>
+	<indexterm>
+	  <primary>mcu8051ide</primary>
+	</indexterm>
+	<para>
+	  Fedora &PRODVER; includes the new package,
+	  <package>mcu8051ide</package>. MCU 8051 IDE is integrated
+	  development enviroment for microcontrollers based on
+	  8051. Supported programming languages are C and assembly.
+	</para>
+	<para>
+	  It has its own assembler and support for 2 external
+	  assemblers. For C language, it uses SDCC compiler.
+	</para>
+      </listitem>
+    </varlistentry>
+    <varlistentry>
+      <term>openocd</term>
+      <listitem>
+	<indexterm>
+	  <primary>openocd</primary>
+	</indexterm>
+	<para>
+	  New for Fedora &PRODVER;, the Open On-Chip Debugger
+	  (OpenOCD) provides debugging, in-system programming and
+	  boundary-scan testing for embedded devices. Various
+	  different boards, targets, and interfaces are supported to
+	  ease development time.
+	</para>
+      </listitem>
+    </varlistentry>
+    <varlistentry>
+      <term>sdcc</term>
+      <listitem>
+	<indexterm>
+	  <primary>sdcc</primary>
+	</indexterm>
+	<para>
+	  <package>sdcc</package> 2.9.0 includes a number of new
+	  features. Refer to <ulink type="http"
+	  url="http://sdcc.sourceforge.net/" /> for the complete list.
+	</para>
+	<warning>
+	  <title>Code may be incompatible</title>
+	  <para>
+	    Code developed for <package>sdcc</package> 2.8.0 may not be
+	    fully compatible with 2.9.0.
+	  </para>
+	</warning>
+      </listitem>
+    </varlistentry>
+  </variablelist>
 </section>
 
 
diff --git a/en-US/ElectronicDesignAssistants.xml b/en-US/ElectronicDesignAssistants.xml
index d5524ea..5b2780b 100644
--- a/en-US/ElectronicDesignAssistants.xml
+++ b/en-US/ElectronicDesignAssistants.xml
@@ -3,8 +3,570 @@
 ]>
 
 <section id="sect-Release_Notes-Electronic_Design_Automation">
-	<title>Electronic Design Automation</title>
-	<remark>This beat is located here: <ulink type="http" url="https://fedoraproject.org/wiki/Documentation_EDA_Beat">https://fedoraproject.org/wiki/Documentation_EDA_Beat</ulink></remark>
+  <title>Electronic Design Automation</title> <remark>This beat is
+  located here: <ulink type="http"
+  url="https://fedoraproject.org/wiki/Documentation_EDA_Beat">https://fedoraproject.org/wiki/Documentation_EDA_Beat</ulink></remark>
+  <para>
+    <indexterm><primary>Electronic Design
+    Automation</primary></indexterm> <indexterm><primary>Fedora
+    Electronic Lab</primary></indexterm> This section outlines changes
+    in the Fedora Electronic Lab for Fedora 12.  Note that a number of
+    the applications in FEL have application to a number of
+    communities. These specific applications are outlined in the
+    Circuit Design (which includes simulation and PCB layout) and
+    Embedded Development sections of these notes.
+  </para>
+
+  <section>
+    <title>Collaborative Code Review</title>
+    <para>
+      <indexterm><primary>Collaborative Code Review</primary></indexterm>
+      One of the many faces of digital hardware design entails
+      tracking many files to be fed to multiple EDA tools. The
+      eventual reports or netlists are carefully analysed and logged
+      as part of the sign-off methodology. Each company tracks these
+      project dependent files under a certain directory structure and
+      under a certain revision controlled system of their choice.
+    </para>
+    <para>
+      We have included an efficient and reliable code review solution
+      into the Fedora collection. This trac-based peerreview solution
+      will also help create links and seamless references between
+      bugs, tasks, changesets and files. Project coordinators will
+      have a more realistic the overview of the on-going project and
+      track the progress very easy with respect to different
+      milestones and deadlines.
+    </para>
+  </section>
+
+  <section>
+    <title>Eclipse Default IDE</title>
+    <para>
+      <indexterm>
+	<primary>Eclipse Default IDE</primary>
+	<secondary>Electronic Design Automation</secondary>
+      </indexterm>
+      With the help and support from Fedora Eclipse team, Eclipse
+      becomes FEL’s prime IDE for HDL IP development and
+      documentation. This adoption is to maintain true
+      interoperability between tools offered by different embedded
+      software vendors.
+    </para>
+    <para>
+      The following plugins provided by default on the Fedora
+      Electronic Lab platform will enhance :
+      <itemizedlist>
+	<listitem>
+	  <para>
+	    frontend design
+	  </para>
+	</listitem>
+	<listitem>
+	  <para>
+	    autogeneration of documentation and maintenance of
+	    professional datasheets
+	  </para>
+	</listitem>
+	<listitem>
+	  <para>
+	    Perl/Tcl scripting (Perl modules which featured as from FEL10)
+	  </para>
+	</listitem>
+	<listitem>
+	  <para>
+	    version controlled projects
+	  </para>
+	</listitem>
+      </itemizedlist>
+      <table frame="all" id="tbl-FEL-Eclipse-Plugins">
+	<title>Eclipse Plugins selected for hardware design</title>
+	<indexterm><primary>eclipse-veditor</primary></indexterm>
+	<indexterm><primary>eclipse-eclox</primary></indexterm>
+	<indexterm><primary>eclipse-texlipse</primary></indexterm>
+	<indexterm><primary>eclipse-cdt</primary></indexterm>
+	<indexterm><primary>eclipse-dltk-tcl</primary></indexterm>
+	<indexterm><primary>eclipse-epic</primary></indexterm>
+	<indexterm><primary>eclipse-subclipse</primary></indexterm>
+	<indexterm><primary>eclipse-egit</primary></indexterm>
+	<tgroup cols="2">
+	  <thead>
+	    <row>
+	      <entry>
+		Package
+	      </entry>
+	      <entry>
+		Description
+	      </entry>
+	    </row>
+	  </thead>
+	  <tbody>
+	    <row>
+	      <entry>
+		eclipse-veditor
+	      </entry>
+	      <entry>
+		Helps digital IC designers/FPGA designers develop
+		Verilog/ VHDL code on Eclipse.  Provides a realtime
+		error and warnings notification of typos, missing
+		signals, unnecessary signals etc.
+	      </entry>
+	    </row>
+	    <row>
+	      <entry>
+		eclipse-eclox
+	      </entry>
+	      <entry>
+		If the vhdl code entails doxygen style comments, a
+		pdf can be autogenerated and used either during
+		internal meetings or sent to the client.
+	      </entry>
+	    </row>
+	    <row>
+	      <entry>
+		eclipse-texlipse
+	      </entry>
+	      <entry>
+		Since the pdf is generated from latex, the texlipse
+		plugin will provide some additional page layout
+		formatting and easy pdf creation. The pdf creation
+		is now only Ctrl-S, rather than a manual click like
+		one would do on kile. That said, kile will be
+		removed from the FEL livedvd.
+	      </entry>
+	    </row>
+	    <row>
+	      <entry>
+		eclipse-cdt
+	      </entry>
+	      <entry>
+		Provides Embedded C and C++ development tools.
+	      </entry>
+	    </row>
+	    <row>
+	      <entry>
+		eclipse-dltk-tcl
+	      </entry>
+	      <entry>
+		Tcl scripts can be maintained along side with the
+		HDL code.
+	      </entry>
+	    </row>
+	    <row>
+	      <entry>
+		eclipse-epic
+	      </entry>
+	      <entry>
+		Perl scripts can be maintained along side with the
+		HDL code.
+	      </entry>
+	    </row>
+	    <row>
+	      <entry>
+		eclipse-subclipse
+	      </entry>
+	      <entry>
+		Adds Subversion integration to the Eclipse IDE
+	      </entry>
+	    </row>
+	    <row>
+	      <entry>
+		eclipse-egit
+	      </entry>
+	      <entry>
+		Adds distributed version controlled GIT integration
+		to the Eclipse IDE
+	      </entry>
+	    </row>
+	  </tbody>
+	</tgroup>
+      </table>
+    </para>
+  </section>
+
+  <section>
+    <title>Analog ASIC Design</title>
+
+    <variablelist>
+      
+      <varlistentry>
+	<term>toped</term>
+	<listitem>
+	  <indexterm>
+	    <primary>toped</primary>
+	  </indexterm>
+	  <para>
+	    Updated to the consolidation release 0.9.4. The Fedora
+	    Toped package sets the variable $TPD_GLOBAL to
+	    /usr/share/toped by default so that the user could run
+	    toped out of the box.
+	  </para>
+	  <para>
+	    Graham Petley and Krustev Svilen provided 2 TELL files
+	    as a demonstration how toped can interact with Pharosc
+	    Standard Cells via toped’s GDSII and CIF parsers.
+	  </para>
+
+	  <para>
+	    Highlights
+	    <itemizedlist>
+	      <listitem>
+		<para>
+		  New graphic renderer which speeds-up the drawing
+		  up-to 3.5 times. Requires openGL version 1.4 (F-11
+		  uses 1.3, but this is not an issue) and Virtual
+		  Buffer Objects. It will be used as a base for future
+		  graphical effects.
+		</para>
+	      </listitem>
+	      <listitem>
+		<para>
+		  The old renderer remains to cover graphic drivers
+		  implementing older openGL versions and particularly
+		  virtual desktops.
+		</para>
+	      </listitem>
+	      <listitem>
+		<para>
+		  The speed is also improved significantly.
+		</para>
+	      </listitem>
+	      <listitem>
+		<para>
+		  Updates and fixes in the external interfaces. GDSII
+		  in particular.
+		</para>
+	      </listitem>
+	      <listitem>
+		<para>
+		  New utility for conversion of Virtuoso(C) technology
+		  files to TELL.
+		</para>
+	      </listitem>
+	      <listitem>
+		<para>
+		  TDT format updated with new records. Version updated
+		  to 0.7.
+		</para>
+	      </listitem>
+	      <listitem>
+		<para>
+		  TDT format updated with new records. Version updated
+		  to 0.7.
+		</para>
+	      </listitem>
+	      <listitem>
+		<para>
+		  Further updates on the user interface customization
+		  - toolbars.
+		</para>
+	      </listitem>
+	      <listitem>
+		<para>
+		  Updates in the internal handling of the cell
+		  references. In result layer 0 is handled as a normal
+		  layer now.
+		</para>
+	      </listitem>
+	    </itemizedlist>
+	  </para>
+	  <warning>
+	    <title>
+	      Old Toped releases will not be able to read TDT files
+	      produced by this release.
+	    </title>
+	    <para>
+	      There is a certain amount of code which is not yet
+	      merged to the main development trunk, including the
+	      calibre error report parser. The suggestion is to do
+	      that after the release. Some features were postponed
+	      instead of sacrificing stability at this stage.
+	    </para>
+	  </warning>
+
+	</listitem>
+      </varlistentry>
+
+      <varlistentry>
+	<term>Magic</term>
+	<listitem>
+	  <indexterm>
+	    <primary>magic</primary>
+	  </indexterm>
+	  <indexterm>
+	    <primary>magic-doc</primary>
+	  </indexterm>
+	  <para>
+	    Fedora Magic has been updated to 8.0.54.
+	  </para>
+	  <para>
+	    Fedora Magic package has its documentation on a separate
+	    package called : <package>magic-doc</package>. The latter
+	    includes some examples of scmos and tutorials. Advanced
+	    Magic VLSI users would also be interested in reading the
+	    documentation again to grasp the fine details entailed in
+	    the 8.0 series.
+	  </para>
+	  <para>Features:
+	    <itemizedlist>
+	      <listitem>
+		<para>
+		  outline vector fonts (courtesy of the freefont
+		  project), and aims to clean up a lot of problems
+		  associated with labels in Magic.
+		</para>
+	      </listitem>
+	      <listitem>
+		<para>
+		  All the display, manipulation, OpenGL are complete.
+		</para>
+	      </listitem>
+	      <listitem>
+		<para>
+		  Features some "cifoutput" operators for use with the
+		  new "cif paint" command, for manipulating layout
+		  using boolean operators.
+		</para>
+	      </listitem>
+	      <listitem>
+		<para>
+		  Runtime speed has been improved.
+		</para>
+	      </listitem>
+	      <listitem>
+		<para>
+		  Two additional menus have been added for grid
+		  manipulation and text settings.
+		</para>
+	      </listitem>
+	    </itemizedlist>
+	  </para>
+	</listitem>
+      </varlistentry>
+
+      <varlistentry>
+	<term>Electric</term>
+	<listitem>
+	  <indexterm>
+	    <primary>electric</primary>
+	  </indexterm>
+	  <para>
+	    <package>electric</package> has been updated to 8.09.
+	  </para>
+	  <para>
+	    Please note that because most of the electric userbase use
+	    third party plugins that due to the licensing
+	    incompatibilities wih Fedora, FEL can not add those
+	    plugins. That said, Fedora Electronic Lab team understands
+	    that releasing a new upstream version would break
+	    interoperability with the user’s plugins.  Hence new
+	    versions of electric will once make their way to the
+	    updates-testing repository.
+	  </para>
+	</listitem>
+      </varlistentry>
+
+    </variablelist>
+  </section>
+
+  <section>
+    <title>Digital Design</title>
+
+    <variablelist>
+      
+      <varlistentry>
+	<term>Dinotrace</term>
+	<listitem>
+	  <indexterm>
+	    <primary>dinotrace</primary>
+	  </indexterm>
+	  <para>
+	    New to Fedora &PRODVER;,Dinotrace is a waveform viewer
+	    which understands Verilog Value Change Dumps, ASCII, and
+	    other trace formats.
+	  </para>
+	  <para>
+	    It allows placing cursors, highlighting signals,
+	    searching, printing, and other capabilities superior to
+	    many commercial waveform viewers.
+	  </para>
+	  <para>
+	    Dinotrace is optimized for rapid debugging. With VTRACE, a
+	    simulation failure will automatically place cursors where
+	    errors occur, add comments visible in the wave form
+	    viewer. Four mouse clicks and the errors will be
+	    highlighted in the log files, and the values of signals at
+	    the error will be seen in the source.
+	  </para>
+	  <para>
+	    Fedora also ships <code>dinotrace-mode</code> for emacs as
+	    <package>emacs-dinotrace-mode</package>.
+	  </para>
+	</listitem>
+      </varlistentry>
+
+
+      <varlistentry>
+	<term>eqntott</term>
+	<listitem>
+	  <indexterm>
+	    <primary>eqntott</primary>
+	  </indexterm>
+	  <para>
+	    <package>eqntott</package> converts Boolean logic
+	    expressions into a truth table that is useful for
+	    preparing input to espresso package for logic
+	    minimization, converting logic expressions into simpler
+	    forms, and for creating truth
+	    tables. <package>eqntott</package> is new for Fedora
+	    &PRODVER;.
+	  </para>
+	</listitem>
+      </varlistentry>
+
+
+      <varlistentry>
+	<term>expresso-ab</term>
+	<listitem>
+	  <indexterm>
+	    <primary>expresso-ab</primary>
+	  </indexterm>
+	  <para>
+	    New for Fedora &PRODVER;, <package>espresso</package>
+	    takes as input a two-level representation of a two-valued
+	    (or multiplevalued) Boolean function, and produces a
+	    minimal equivalent representation. It is a boolean logic
+	    minimization tool.
+	  </para>
+	</listitem>
+      </varlistentry>
+
+
+      <varlistentry>
+	<term>Verilator</term>
+	<listitem>
+	  <indexterm>
+	    <primary>verilator</primary>
+	  </indexterm>
+	  <para>
+	    Verilator is the fastest free Verilog HDL simulator. It
+	    compiles synthesizable Verilog, plus some PSL,
+	    SystemVerilog and Synthesis assertions into C++ or SystemC
+	    code. It is designed for large projects where fast
+	    simulation performance is of primary concern, and is
+	    especially well suited to create executable models of CPUs
+	    for embedded software design teams.
+	  </para>
+	</listitem>
+      </varlistentry>
+
+
+      <varlistentry>
+	<term>vrq</term>
+	<listitem>
+	  <indexterm>
+	    <primary>vrq</primary>
+	  </indexterm>
+	  <para>
+	    VRQ is modular verilog parser that supports plugin tools
+	    to process verilog.  Multiple tools may be invoked in a
+	    pipeline fashion within a single execution of vrq. It is a
+	    generic front-end parser with support for plugin backend
+	    customizable tools.
+	  </para>
+	</listitem>
+      </varlistentry>
+
+
+      <varlistentry>
+	<term>Alliance</term>
+	<listitem>
+	  <indexterm>
+	    <primary>Alliance</primary>
+	  </indexterm>
+	  <para>
+	    Fedora Alliance CVS devel repository got its 100th patch
+	    in August 2009, with respect to stability on 64
+	    architecture and we are happy that upstream has applied
+	    all our patches for alliance. We have also built this new
+	    release for all Fedora supported testing repositories and
+	    EPEL-5 testing repository. There is also a new GUI
+	    <package>xgra</package> coming with this new release which
+	    is a Graph viewer.
+	  </para>
+	  <para>
+	    We will not replace Alliance VLSI by herb (which was
+	    supported to be a fork of alliance) on Fedora. Before
+	    F-11’s release, herb development was active but died out
+	    after F-11 was released. Since Alliance VLSI upstream is
+	    active and responsive to our wishes, there is currently no
+	    valid reason behind obsoleting alliance in favour of herb.
+	  </para>
+	</listitem>
+      </varlistentry>
+
+
+    </variablelist>
+  </section>
+
+  <section>
+    <title>Perl Scripts for hardware Design</title>
+    <variablelist>
+      <varlistentry>
+	<term>perl-SystemPerl</term>
+	<listitem>
+	  <indexterm><primary>perl-SystemPerl</primary></indexterm>
+	  <para>This is a new package for Fedora &PRODVER;.</para>
+	  <para>
+	    SystemPerl is a version of the SystemC language. It is
+	    designed to expand text so that needless repetition in the
+	    language is minimized. By using sp_preproc, SystemPerl files
+	    can be expanded into C++ files at compile time, or expanded
+	    in place to make them valid stand-alone SystemC files.
+	  </para>
+	</listitem>
+      </varlistentry>
+      <varlistentry>
+	<term>perl-Verilog-Perl</term>
+	<listitem>
+	  <indexterm><primary>perl-Verilog-Perl</primary></indexterm>
+	  <para>
+	    <package>perl-Verilog-Perl</package> has been updated to
+	    version 3.123.  New features include:
+	    <itemizedlist>
+	      <listitem>
+		<para>
+		  Improved warning when "do" used as identifier.
+		</para>
+	      </listitem>
+	      <listitem>
+		<para>
+		  Fixed escaped preprocessor identifiers, bug106.
+		</para>
+	      </listitem>
+	      <listitem>
+		<para>
+		  Fixed Perl 5.8.8 compile error, rt48226.
+		</para>
+	      </listitem>
+	      <listitem>
+		<para>
+		  Fixed Perl 5.8.0 compile error with callbackgen.
+		</para>
+	      </listitem>
+	    </itemizedlist>
+	    <warning>
+	      <para>
+		perl-Verilog-Perl obsoletes perl-Verilog. Fedora users
+		are advised to tune their home-made Perl scripts
+		accordingly.
+	      </para>
+	    </warning>
+	  </para>
+	</listitem>
+      </varlistentry>
+    </variablelist>
+  </section>
+
 </section>
 
 
diff --git a/en-US/Release_Notes.xml b/en-US/Release_Notes.xml
index d158909..7d3fed9 100644
--- a/en-US/Release_Notes.xml
+++ b/en-US/Release_Notes.xml
@@ -63,7 +63,7 @@
 	<section id="sect-Release_Notes-Changes_in_Fedora_for_Specific_Audiences">
 		<title>Changes in Fedora for Specific Audiences</title>
 		<xi:include href="ScientificTechnical.xml" xmlns:xi="http://www.w3.org/2001/XInclude"></xi:include>
-		<!-- <xi:include href="ElectronicDesignAssistants.xml" xmlns:xi="http://www.w3.org/2001/XInclude"></xi:include> -->
+		<xi:include href="ElectronicDesignAssistants.xml" xmlns:xi="http://www.w3.org/2001/XInclude"></xi:include>
 		<xi:include href="CircuitDesign.xml" xmlns:xi="http://www.w3.org/2001/XInclude"></xi:include>
 		<xi:include href="Devel-Embedded.xml" xmlns:xi="http://www.w3.org/2001/XInclude"></xi:include>
 		<xi:include href="AmateurRadio.xml" xmlns:xi="http://www.w3.org/2001/XInclude"></xi:include>





More information about the Fedora-docs-commits mailing list